-
Notifications
You must be signed in to change notification settings - Fork 5
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Ifu cache update #769
base: main
Are you sure you want to change the base?
Ifu cache update #769
Changes from 1 commit
28f3f69
88ba556
17994bf
e4dc181
8212f20
4e8aca5
a832289
569bdac
f9c1583
48c8557
dc2464a
85a9ce5
df6f352
ff8641a
2a6c332
a54ec6c
a596d79
6889e37
bbe5ddb
f426719
f090227
7081f51
4335684
1325bc8
c8dfa10
cf674b9
9fbbb75
5624ae6
50bfc64
028dd91
39e0560
cd54d7a
630df38
feea367
d679136
5658c23
6ef3c25
26814d9
deda30b
d596a2f
File filter
Filter by extension
Conversations
Jump to
Diff view
Diff view
There are no files selected for viewing
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -125,11 +125,15 @@ always_ff @(posedge Clock or posedge Rst) begin | |
end | ||
end | ||
|
||
endmodule | ||
|
||
/////////////////// | ||
// Internal Modules | ||
/////////////////// | ||
|
||
module updatePLruTree ( | ||
module updatePLruTree | ||
There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. We don't have 2 modules in the same file. Each file one module, and the name of the module and the file must match |
||
import ifu_pkg::*; | ||
( | ||
input logic [NUM_LINES - 2:0] currentTree, | ||
input logic [P_BITS - 1:0] line, | ||
output logic [NUM_LINES - 2:0] updatedTree | ||
|
@@ -147,7 +151,9 @@ module updatePLruTree ( | |
end | ||
endmodule | ||
|
||
module getPLRUIndex ( | ||
module getPLRUIndex | ||
import ifu_pkg::*; | ||
( | ||
input logic [NUM_LINES - 2:0] tree, | ||
output logic [P_BITS - 1:0] index | ||
); | ||
|
@@ -159,16 +165,6 @@ module getPLRUIndex ( | |
end | ||
endmodule | ||
|
||
// Instantiations | ||
updatePLruTree update_plru_inst ( | ||
.currentTree(plruTree), | ||
.line(lineForPLRU), | ||
.updatedTree(updatedTree) | ||
); | ||
|
||
getPLRUIndex plru_index_inst ( | ||
.tree(plruTree), | ||
.index(plruIndex) | ||
); | ||
|
||
endmodule | ||
|
There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. out simulator does not support assertion. you don't have to use assertion. If you want we have a special macro inside |
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
I see what you have been talking about. It's better to separate and put each module into different files.