Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

It Can NOT preprocess properly in SystemVerilog files? #2217

Open
zpxy opened this issue Jul 17, 2024 · 1 comment
Open

It Can NOT preprocess properly in SystemVerilog files? #2217

zpxy opened this issue Jul 17, 2024 · 1 comment
Labels
style-linter Verilog style-linter issues

Comments

@zpxy
Copy link

zpxy commented Jul 17, 2024

Describe the bug
I use a gloabal "define" file and "macro" file to manager all parameters and macros, but verible-verilog_lint can't recongnize the macro in other file , it say an error: error in expanding the macro , might not be difined before
image

so would you plan to solve the preprocess problem?

@zpxy zpxy added the style-linter Verilog style-linter issues label Jul 17, 2024
@hzeller
Copy link
Collaborator

hzeller commented Jul 17, 2024

Yes, preprocessor does need some work. It is fairly high on my list, but unfortunately there are other projects also competing for my attention.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
style-linter Verilog style-linter issues
Projects
None yet
Development

No branches or pull requests

2 participants