Skip to content

RustamSubkhankulov/verilog-labs

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

57 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Введение в FPGA и Verilog, ФРКТ МФТИ

Однотактовый RISC-V процессор

Модель процессора архитектуры RISC-V на языке Verilog с поддержкой ограниченного подмножества инструкций

Интерфейс UART

Реализация интерфейса UART на языке Verilog

Список прочих лабораторные работы:

  1. Модуль ROM-памяти, инициализируемый содержимым из файла
  2. Детерменированный конечный автомат, описывающий поведение вендингового автомата с напитками
  3. Делитель частоты
  4. Знаковое расширение константы
  5. Инвертор

Icarus Verilog и GTKWave

Программа Icarus Verilog это самое простое средство для симуляции Verilog-кода. Установка для Linux возможна с помощью следующих шагов:

sudo apt install iverilog
sudo apt install gtkwave

Сборка и симуляция

Чтобы воспользоваться симулятором, достаточно использовать команду make test в директории с каким-либо из проектов. Данная команда проводит сначала компиляцию кода при помощи iverilog, а далее открывает дамп сигналов при помощи gtkwave.

GTKWave