Skip to content

Commit

Permalink
Push avalon master read req msg one cycle earlier
Browse files Browse the repository at this point in the history
  • Loading branch information
Sławomir Siluk committed Nov 20, 2020
1 parent 6adbcdd commit 14f887a
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions vunit/vhdl/verification_components/src/avalon_master.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -78,9 +78,9 @@ begin
address <= pop_std_ulogic_vector(request_msg);
byteenable(byteenable'range) <= (others => '1');
read <= '1';
push(acknowledge_queue, request_msg);
wait until rising_edge(clk) and waitrequest = '0';
read <= '0';
push(acknowledge_queue, request_msg);

elsif msg_type = bus_burst_read_msg then
while rnd.Uniform(0.0, 1.0) > read_high_probability loop
Expand All @@ -92,9 +92,9 @@ begin
burstcount <= std_logic_vector(to_unsigned(burst, burstcount'length));
byteenable(byteenable'range) <= (others => '1');
read <= '1';
push(burst_acknowledge_queue, request_msg);
wait until rising_edge(clk) and waitrequest = '0';
read <= '0';
push(burst_acknowledge_queue, request_msg);
push(burstlen_queue, burst);

elsif msg_type = bus_write_msg then
Expand Down

0 comments on commit 14f887a

Please sign in to comment.