Skip to content

Commit

Permalink
[rtl] Remove outdated assertion
Browse files Browse the repository at this point in the history
- The core does not rely on instr_err_i being always valid, and most bus
  protocols don't support that

Signed-off-by: Tom Roberts <[email protected]>
  • Loading branch information
Tom Roberts authored and tomeroberts committed Aug 27, 2020
1 parent 2f1b95d commit f30e84b
Showing 1 changed file with 0 additions and 3 deletions.
3 changes: 0 additions & 3 deletions rtl/ibex_if_stage.sv
Original file line number Diff line number Diff line change
Expand Up @@ -595,9 +595,6 @@ module ibex_if_stage #(
// Boot address must be aligned to 256 bytes.
`ASSERT(IbexBootAddrUnaligned, boot_addr_i[7:0] == 8'h00)

// Errors must only be sent together with rvalid.
`ASSERT(IbexInstrErrWithoutRvalid, instr_err_i |-> instr_rvalid_i)

// Address must not contain X when request is sent.
`ASSERT(IbexInstrAddrUnknown, instr_req_o |-> !$isunknown(instr_addr_o))

Expand Down

0 comments on commit f30e84b

Please sign in to comment.