Info: Packing constants.. Info: Inserting IO buffers.. Info: Preparing clocking... Info: Packing constants.. Info: Packing PLLs... Info: Packing global buffers... Info: Packing MUX[789]s.. Info: Packing carries.. Info: Grouped 0 MUXCYs and 0 XORCYs into 0 chains. Info: Blasted 0 non-chain MUXCYs and 0 non-chain XORCYs to soft logic Info: Packing LUTs.. Info: Created 2 SLICE_LUTX cells from: Info: 2x LUT1 Info: Packing DRAM.. Info: Transformed 0 tied-low DRAM address inputs to be tied-high Info: Packing BRAM.. Info: Packing DSPs.. Info: Packing flipflops.. Info: Created 1 SLICE_FFX cells from: Info: 1x FDCE Info: Constrained 1 LUTFF pairs. Info: Annotating ports with timing budgets for target frequency 12.00 MHz Info: port lut_a.A1, connected to net 'net_1', has timing budget of 27.577999ns Info: port ff.D, connected to net 'net_3', has timing budget of 27.577000ns Info: port ff.CK, connected to net '$PACKER_VCC_NET', has timing budget of 2147483.750000ns Info: port ff.SR, connected to net '$PACKER_GND_NET', has timing budget of 2147483.750000ns Info: port ff.CE, connected to net '$PACKER_GND_NET', has timing budget of 2147483.750000ns Info: port lut_b.A1, connected to net 'net_2', has timing budget of 27.577999ns Info: Checksum: 0xc2339880 Info: Device utilisation: Info: SLICE_LUTX: 2/126800 0% Info: SLICE_FFX: 1/126800 0% Info: CARRY4: 0/15850 0% Info: PSEUDO_GND: 1/30932 0% Info: PSEUDO_VCC: 1/30932 0% Info: HARD0: 0/ 2376 0% Info: RAMB18E1_RAMB18E1: 0/ 270 0% Info: FIFO18E1_FIFO18E1: 0/ 135 0% Info: RAMBFIFO36E1_RAMBFIFO36E1: 0/ 135 0% Info: RAMB36E1_RAMB36E1: 0/ 135 0% Info: DSP48E1_DSP48E1: 0/ 240 0% Info: PAD: 0/ 876 0% Info: IOB33M_OUTBUF: 0/ 144 0% Info: IOB33S_OUTBUF: 0/ 144 0% Info: IOB33_OUTBUF: 0/ 300 0% Info: IOB33M_INBUF_EN: 0/ 144 0% Info: IOB33S_INBUF_EN: 0/ 144 0% Info: IOB33_INBUF_EN: 0/ 300 0% Info: IOB33M_TERM_OVERRIDE: 0/ 144 0% Info: IOB33S_TERM_OVERRIDE: 0/ 144 0% Info: IOB33_TERM_OVERRIDE: 0/ 300 0% Info: PULL_OR_KEEP1: 0/ 588 0% Info: IDELAYE2_IDELAYE2: 0/ 300 0% Info: OLOGICE3_TFF: 0/ 300 0% Info: OLOGICE3_OUTFF: 0/ 300 0% Info: OLOGICE3_MISR: 0/ 300 0% Info: OSERDESE2_OSERDESE2: 0/ 300 0% Info: ILOGICE3_IFF: 0/ 300 0% Info: ILOGICE3_ZHOLD_DELAY: 0/ 300 0% Info: ISERDESE2_ISERDESE2: 0/ 300 0% Info: BUFIO_BUFIO: 0/ 24 0% Info: IDELAYCTRL_IDELAYCTRL: 0/ 6 0% Info: BUFGCTRL: 0/ 32 0% Info: BUFG_BUFG: 0/ 32 0% Info: INVERTER: 0/ 144 0% Info: OLOGICE2_TFF: 0/ 300 0% Info: OLOGICE2_OUTFF: 0/ 300 0% Info: PLLE2_ADV_PLLE2_ADV: 0/ 6 0% Info: SELMUX2_1: 0/48750 0% Info: BUFHCE_BUFHCE: 0/ 96 0% Info: BUFFER: 0/ 288 0% Info: ILOGICE2_IFF: 0/ 300 0% Info: OLOGICE2_MISR: 0/ 300 0% Clock '$PACKER_VCC_NET' can be driven by: $PACKER_VCC_DRV.Y delay 0.000ns Info: Placed 0 cells based on constraints. Info: Creating initial analytic placement for 2 cells, random placement wirelen = 232. Info: at initial placer iter 0, wirelen = 0 Info: at initial placer iter 1, wirelen = 0 Info: at initial placer iter 2, wirelen = 0 Info: at initial placer iter 3, wirelen = 0 Info: Running main analytical placer, max placement attempts per cell = 10000. Info: at iteration #1, type SLICE_LUTX: wirelen solved = 0, spread = 0, legal = 10; time = 0.02s Info: at iteration #2, type SLICE_LUTX: wirelen solved = 2, spread = 2, legal = 0; time = 0.01s Info: AP soln: lut_a -> SLICE_X0Y185/A6LUT Info: AP soln: $PACKER_VCC_DRV -> LIOB33_X0Y59/PSEUDO_VCC_BEL Info: AP soln: $PACKER_GND_DRV -> LIOB33_X0Y9/PSEUDO_GND_BEL Info: AP soln: lut_b -> SLICE_X0Y185/A5LUT Info: AP soln: ff -> SLICE_X0Y185/A5FF Info: HeAP Placer Time: 0.19s Info: of which solving equations: 0.00s Info: of which spreading cells: 0.01s Info: of which strict legalisation: 0.00s Info: Running simulated annealing placer for refinement. Clock '$PACKER_VCC_NET' can be driven by: $PACKER_VCC_DRV.Y delay 0.000ns Info: at iteration #1: temp = 0.000000, timing cost = 0, wirelen = 0 iter #1: temp = 0.000000, timing cost = 0, wirelen = 0, dia = 3, Ra = 0.00 Info: at iteration #2: temp = 0.000000, timing cost = 0, wirelen = 0 Info: SA placement time 0.00s Clock '$PACKER_VCC_NET' can be driven by: $PACKER_VCC_DRV.Y delay 0.000ns Info: Max frequency for clock '$PACKER_VCC_NET': 2100.84 MHz (PASS at 12.00 MHz) Info: Slack histogram: Info: legend: * represents 1 endpoint(s) Info: + represents [1,1) endpoint(s) Info: [ 82857, 82858) |* Info: [ 82858, 82859) | Info: [ 82859, 82860) | Info: [ 82860, 82861) | Info: [ 82861, 82862) | Info: [ 82862, 82863) | Info: [ 82863, 82864) | Info: [ 82864, 82865) | Info: [ 82865, 82866) | Info: [ 82866, 82867) | Info: [ 82867, 82868) | Info: [ 82868, 82869) | Info: [ 82869, 82870) | Info: [ 82870, 82871) | Info: [ 82871, 82872) | Info: [ 82872, 82873) | Info: [ 82873, 82874) | Info: [ 82874, 82875) | Info: [ 82875, 82876) | Info: [ 82876, 82877) | Info: Checksum: 0x64bf7d79 Info: Running post-placement legalisation... Info: port lut_a.A1, connected to net 'net_1', has timing budget of 27.618999ns Info: port ff.D, connected to net 'net_3', has timing budget of 27.618999ns Info: port ff.CK, connected to net '$PACKER_VCC_NET', has timing budget of 2147483.750000ns Info: port lut_a.A6, connected to net '$PACKER_VCC_NET', has timing budget of 2147483.750000ns Info: port ff.SR, connected to net '$PACKER_GND_NET', has timing budget of 2147483.750000ns Info: port ff.CE, connected to net '$PACKER_GND_NET', has timing budget of 2147483.750000ns Info: port lut_b.A2, connected to net 'net_2', has timing budget of 27.618999ns Info: Routing global clocks... Info: NULL_X0Y208/PSEUDO_VCC_WIRE_GLBL ----> INT_L_X0Y199/BYP_ALT0 Info: NULL_X0Y208/PSEUDO_GND_WIRE_GLBL ----> INT_L_X0Y199/GFAN0 Clock '$PACKER_VCC_NET' can be driven by: $PACKER_VCC_DRV.Y delay 0.000ns Info: Running router2... Info: Setting up routing resources... Info: net_1: bb=(10, 15)->(10, 15) c=(10, 15) hpwl=1 Info: net_3: bb=(10, 15)->(10, 15) c=(10, 15) hpwl=1 Info: $PACKER_VCC_NET: bb=(0, 0)->(10, 15) c=(6, 58) hpwl=25 Info: $PACKER_GND_NET: bb=(0, 0)->(10, 15) c=(6, 76) hpwl=25 Info: net_2: bb=(10, 15)->(10, 15) c=(10, 15) hpwl=1 reserving wires for arc 0 (lut_a.A1) of net net_1 SITEWIRE/SLICE_X0Y185/A1 reserving wires for arc 0 (ff.D) of net net_3 SITEWIRE/SLICE_X0Y185/A5FFMUX_OUT reserving wires for arc 0 (ff.CK) of net $PACKER_VCC_NET SITEWIRE/SLICE_X0Y185/CLKINV_OUT reserving wires for arc 1 (lut_a.A6) of net $PACKER_VCC_NET SITEWIRE/SLICE_X0Y185/A6 CLBLL_L_X2Y185/CLBLL_LL_A6 INT_L_X2Y185/IMUX_L4 reserving wires for arc 0 (ff.SR) of net $PACKER_GND_NET SITEWIRE/SLICE_X0Y185/SRUSEDMUX_OUT SITEWIRE/SLICE_X0Y185/SR CLBLL_L_X2Y185/CLBLL_LL_SR INT_L_X2Y185/CTRL_L1 reserving wires for arc 1 (ff.CE) of net $PACKER_GND_NET SITEWIRE/SLICE_X0Y185/CEUSEDMUX_OUT SITEWIRE/SLICE_X0Y185/CE CLBLL_L_X2Y185/CLBLL_LL_CE INT_L_X2Y185/FAN_L7 INT_L_X2Y185/FAN_ALT7 reserving wires for arc 0 (lut_b.A2) of net net_2 SITEWIRE/SLICE_X0Y185/A2 reserving wires for arc 0 (lut_a.A1) of net net_1 SITEWIRE/SLICE_X0Y185/A1 reserving wires for arc 0 (ff.D) of net net_3 SITEWIRE/SLICE_X0Y185/A5FFMUX_OUT reserving wires for arc 0 (ff.CK) of net $PACKER_VCC_NET SITEWIRE/SLICE_X0Y185/CLKINV_OUT reserving wires for arc 1 (lut_a.A6) of net $PACKER_VCC_NET SITEWIRE/SLICE_X0Y185/A6 CLBLL_L_X2Y185/CLBLL_LL_A6 INT_L_X2Y185/IMUX_L4 reserving wires for arc 0 (ff.SR) of net $PACKER_GND_NET SITEWIRE/SLICE_X0Y185/SRUSEDMUX_OUT SITEWIRE/SLICE_X0Y185/SR CLBLL_L_X2Y185/CLBLL_LL_SR INT_L_X2Y185/CTRL_L1 reserving wires for arc 1 (ff.CE) of net $PACKER_GND_NET SITEWIRE/SLICE_X0Y185/CEUSEDMUX_OUT SITEWIRE/SLICE_X0Y185/CE CLBLL_L_X2Y185/CLBLL_LL_CE INT_L_X2Y185/FAN_L7 INT_L_X2Y185/FAN_ALT7 reserving wires for arc 0 (lut_b.A2) of net net_2 SITEWIRE/SLICE_X0Y185/A2 Info: x splitpoint: 6 Info: y splitpoint: 15 Info: bin 0 N=0 Info: bin 1 N=0 Info: bin 2 N=0 Info: bin 3 N=0 Info: bin 4 N=5 Info: Running main router loop... Routing net '$PACKER_GND_NET'... Routing arc 0 of net '$PACKER_GND_NET' (0, 0) -> (10, 15) crit=0.000 crit_weight=1.000 src_wire = NULL_X0Y208/PSEUDO_GND_WIRE_GLBL -> dst_wire = SITEWIRE/SLICE_X0Y185/SRUSEDMUX_OUT Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Routed (explored 123 wires): fwd wire: NULL_X0Y208/PSEUDO_GND_WIRE_GLBL (curr 0 hist 1.000000 share 1) bwd pip: LIOB33_X0Y185/286.284 (0, 15) bwd wire: INT_L_X0Y185/GND_WIRE (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/138.136 (11, 15) bwd wire: INT_L_X2Y185/GFAN0 (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/136.31 (11, 15) bwd wire: INT_L_X2Y185/CTRL_L1 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/11.157 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_SR (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/157.397 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/SR (curr 0 hist 1.000000 share 1) bwd pip: SITEPIP/SLICE_X0Y185/SRUSEDMUX/SR (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/SRUSEDMUX_OUT (curr 0 hist 1.000000 share 1) Routing arc 0 of net '$PACKER_GND_NET' (is_bb = 1) took 0.012437s Routing arc 1 of net '$PACKER_GND_NET' (0, 0) -> (10, 15) crit=0.000 crit_weight=1.000 src_wire = NULL_X0Y208/PSEUDO_GND_WIRE_GLBL -> dst_wire = SITEWIRE/SLICE_X0Y185/CEUSEDMUX_OUT Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Info: PSEUDO_GND_WIRE_GLBL 559 559 Routed (explored 20 wires): fwd wire: NULL_X0Y208/PSEUDO_GND_WIRE_GLBL (curr 0 hist 1.000000 share 2) bwd pip: LIOB33_X0Y185/286.284 (0, 15) bwd wire: INT_L_X0Y185/GND_WIRE (curr 0 hist 1.000000 share 2) bwd pip: INT_L_X2Y185/138.137 (11, 15) bwd wire: INT_L_X2Y185/GFAN1 (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/137.91 (11, 15) bwd wire: INT_L_X2Y185/FAN_ALT7 (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/91.111 (11, 15) bwd wire: INT_L_X2Y185/FAN_L7 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/51.139 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_CE (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/139.390 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/CE (curr 0 hist 1.000000 share 1) bwd pip: SITEPIP/SLICE_X0Y185/CEUSEDMUX/CE (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/CEUSEDMUX_OUT (curr 0 hist 1.000000 share 1) Routing arc 1 of net '$PACKER_GND_NET' (is_bb = 1) took 0.000929s Routing net '$PACKER_VCC_NET'... Routing arc 0 of net '$PACKER_VCC_NET' (0, 0) -> (10, 15) crit=0.000 crit_weight=1.000 src_wire = NULL_X0Y208/PSEUDO_VCC_WIRE_GLBL -> dst_wire = SITEWIRE/SLICE_X0Y185/CLKINV_OUT Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Routed (explored 128 wires): fwd wire: NULL_X0Y208/PSEUDO_VCC_WIRE_GLBL (curr 0 hist 1.000000 share 1) bwd pip: LIOB33_X0Y185/287.285 (0, 15) bwd wire: INT_L_X0Y185/VCC_WIRE (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/545.89 (11, 15) bwd wire: INT_L_X2Y185/FAN_ALT5 (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/89.97 (11, 15) bwd wire: INT_L_X2Y185/FAN_BOUNCE5 (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/97.29 (11, 15) bwd wire: INT_L_X2Y185/CLK_L1 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/9.141 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_CLK (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/141.391 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/CLK (curr 0 hist 1.000000 share 1) bwd pip: SITEPIP/SLICE_X0Y185/CLKINV/CLK (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/CLKINV_OUT (curr 0 hist 1.000000 share 1) Routing arc 0 of net '$PACKER_VCC_NET' (is_bb = 1) took 0.016351s Routing arc 1 of net '$PACKER_VCC_NET' (0, 0) -> (10, 15) crit=0.000 crit_weight=1.000 src_wire = NULL_X0Y208/PSEUDO_VCC_WIRE_GLBL -> dst_wire = SITEWIRE/SLICE_X0Y185/A6 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Info: PSEUDO_VCC_WIRE_GLBL 561 559 Routed (explored 6 wires): fwd wire: NULL_X0Y208/PSEUDO_VCC_WIRE_GLBL (curr 0 hist 1.000000 share 2) bwd pip: LIOB33_X0Y185/287.285 (0, 15) bwd wire: INT_L_X0Y185/VCC_WIRE (curr 0 hist 1.000000 share 2) bwd pip: INT_L_X2Y185/545.173 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L4 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/86.118 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A6 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/118.325 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A6 (curr 0 hist 1.000000 share 1) Routing arc 1 of net '$PACKER_VCC_NET' (is_bb = 1) took 0.000156s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 0 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000498s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 1) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 1.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000817s Routing net 'net_3'... Routing arc 0 of net 'net_3' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5LUT_O5 -> dst_wire = SITEWIRE/SLICE_X0Y185/A5FFMUX_OUT Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 3 wires): fwd wire: SITEWIRE/SLICE_X0Y185/A5FFMUX_OUT (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/A5FFMUX/A5LUT_O5 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5LUT_O5 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_3' (is_bb = 1) took 0.000049s Info: iter=1 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 2.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 2) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 2.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000544s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 2) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 2.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 2.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000633s Info: iter=2 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 3) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 3.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 3.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000622s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 3.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 3) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 3.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000534s Info: iter=3 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 4.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 4) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 4.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000434s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 4) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 4.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 4.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001048s Info: iter=4 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 5) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 5.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 5.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000831s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 5) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 5.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 5.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000509s Info: iter=5 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 6.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 6) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 6.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.012047s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 11) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 12) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 6) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 6.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 6.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001216s Info: iter=6 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 7) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 7.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 7.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000767s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 7.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 7) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 7.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000524s Info: iter=7 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 8) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 8.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 8.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001022s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 8) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 8.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 8.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000447s Info: iter=8 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 9) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 9.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 9.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000596s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 9) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 9.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 9.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.012027s Info: iter=9 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 19) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 10) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 10.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 10.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001064s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 10.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 10) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 10.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000536s Info: iter=10 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 12) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 11) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 12) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 11) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 11.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 11.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000951s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 11.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 11) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 11.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000286s Info: iter=11 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 19) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 12) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 12) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 12.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 12.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001695s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 12.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 12) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 12.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011364s Info: iter=12 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 13) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 13.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 13.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000804s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 13.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 13) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 13.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000679s Info: iter=13 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 14) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 14.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 14.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000366s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 14) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 14.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 14.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000610s Info: iter=14 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 15.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 15) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 15.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000610s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 15) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 15.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 15.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000661s Info: iter=15 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 16) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 16.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 16.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001070s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 16.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 16) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 16.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011895s Info: iter=16 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 17) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 17.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 17.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001181s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 17.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 17) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 17.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000414s Info: iter=17 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 18.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 18) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 18.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000376s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 18) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 18.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 18.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001351s Info: iter=18 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 19.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 19) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 19.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001258s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 19) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 19.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 19.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001822s Info: iter=19 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 20.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 20) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 20.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.010213s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 20) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 20.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 20.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001205s Info: iter=20 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 21) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 21.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 21.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001041s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 21.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 21) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 21.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001068s Info: iter=21 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 22.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 22) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 22.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001308s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 22) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 22.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 22.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001432s Info: iter=22 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 23.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 23) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 23.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.010783s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 11) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 12) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 23) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 23.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 23.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001002s Info: iter=23 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 24) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 24.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 24.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000570s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 24.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 24) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 24.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000794s Info: iter=24 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 25.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 25) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 25.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000482s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 12) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 25) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 25.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 25.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001699s Info: iter=25 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 26.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 26) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 26.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.012238s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (12, 17) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 26.000000 share 1) fwd pip: INT_L_X2Y185/460.185 (11, 15) fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 26) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 26.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000779s Info: iter=26 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 27.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 27) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 27.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000624s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 27) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 27.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 27.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000893s Info: iter=27 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 28.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 28) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 28.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000719s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 28) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 28.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 28.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001201s Info: iter=28 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 29.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 29) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 29.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001202s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 29) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 29.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 29.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011525s Info: iter=29 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 30) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 30.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 30.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000739s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 30.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 30) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 30.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000682s Info: iter=30 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 31.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 31) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 31.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000683s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 31) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 31.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 31.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000708s Info: iter=31 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 32) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 32.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 32.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000396s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (15, 16) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 32) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 32.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 32.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001554s Info: iter=32 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 33) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 33.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 33.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011714s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 33.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 33) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 33.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000665s Info: iter=33 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 34) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 34.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 34.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000419s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 34) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 34.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 34.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000914s Info: iter=34 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 35) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 35.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 35.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000346s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 35) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 35.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 35.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000911s Info: iter=35 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 36) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 36.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 36.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001154s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 36) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 36.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 36.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.012277s Info: iter=36 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 12) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 37) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 37.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 37.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001029s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 37.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 37) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 37.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000746s Info: iter=37 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 38.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 38) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 38.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000642s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 38) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 38.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 38.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000681s Info: iter=38 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 39) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 39.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 39.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001109s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 39.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 39) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 39.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001376s Info: iter=39 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 40.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 40) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 40.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011164s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 40) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 40.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 40.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001049s Info: iter=40 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 41.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 41) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 41.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000507s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 41) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 41.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 41.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000563s Info: iter=41 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 42) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 42.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 42.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000937s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 42) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 42.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 42.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000402s Info: iter=42 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 43) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 43.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 43.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001392s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 43.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 43) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 43.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011454s Info: iter=43 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 44) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 44.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 44.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000398s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 44) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 44.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 44.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000793s Info: iter=44 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (16, 18) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 45) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 45.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 45.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000816s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 45.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 45) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 45.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000713s Info: iter=45 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 46) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 46.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 46.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000791s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 46.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 46) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 46.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000763s Info: iter=46 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 47.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 47) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 47.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001273s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 19) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 47) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 47.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 47.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.012370s Info: iter=47 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 48.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 48) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 48.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000292s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 48) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 48.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 48.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000842s Info: iter=48 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 49) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 49.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 49.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000865s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 49.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 49) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 49.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001004s Info: iter=49 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 50) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 50.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 50.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001067s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 50.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 50) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 50.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.012499s Info: iter=50 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 51) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 51.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 51.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000882s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 51.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 51) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 51.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000540s Info: iter=51 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 52.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 52) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 52.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000770s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (10, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (12, 17) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 52.000000 share 1) fwd pip: INT_L_X2Y185/460.185 (11, 15) fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 52) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 52.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000812s Info: iter=52 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 53.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 53) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 53.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000579s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (16, 18) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 53) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 53.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 53.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001233s Info: iter=53 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 54) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 54.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 54.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011876s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 54.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 54) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 54.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000739s Info: iter=54 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 55.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 55) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 55.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000779s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 55) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 55.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 55.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000891s Info: iter=55 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 56.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 56) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 56.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000562s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 56) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 56.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 56.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000890s Info: iter=56 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 57) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 57.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 57.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001499s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 57.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 57) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 57.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011916s Info: iter=57 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 58) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 58.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 58.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000741s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 58) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 58.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 58.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000371s Info: iter=58 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 59.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 59) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 59.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000520s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 59) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 59.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 59.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000594s Info: iter=59 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 60.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 60) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 60.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000472s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 60) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 60.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 60.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000895s Info: iter=60 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 29 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 61) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 61.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 61.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001416s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 61.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 61) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 61.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011717s Info: iter=61 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 62.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 62) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 62.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000805s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 62) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 62.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 62.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000946s Info: iter=62 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 63) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 63.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 63.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001172s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 63) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 63.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 63.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000434s Info: iter=63 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 64.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 64) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 64.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001135s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 64) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 64.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 64.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011501s Info: iter=64 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 65.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 65) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 65.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000273s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 65) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 65.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 65.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000698s Info: iter=65 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 66) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 66.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 66.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000663s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 66.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 66) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 66.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000762s Info: iter=66 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 67) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 67.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 67.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000833s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 67.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 67) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 67.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000748s Info: iter=67 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 68) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 68.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 68.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001320s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 68.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 68) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 68.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011386s Info: iter=68 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 69.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 69) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 69.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000523s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 69) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 69.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 69.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000887s Info: iter=69 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 70.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 70) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 70.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000760s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 70) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 70.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 70.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000983s Info: iter=70 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 71) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 71.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 71.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001163s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 71.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 71) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 71.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000972s Info: iter=71 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 72.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 72) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 72.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011216s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 72) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 72.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 72.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000714s Info: iter=72 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 73.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 73) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 73.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000712s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 19) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 73) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 73.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 73.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000778s Info: iter=73 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 74.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 74) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 74.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000681s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 19) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 20) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 74) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 74.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 74.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001381s Info: iter=74 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 75.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 75) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 75.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.012546s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 75) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 75.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 75.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000881s Info: iter=75 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 76.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 76) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 76.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000629s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 76) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 76.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 76.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000657s Info: iter=76 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 77.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 77) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 77.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000697s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 77) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 77.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 77.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000724s Info: iter=77 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 78.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 78) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 78.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001445s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 78) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 78.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 78.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011542s Info: iter=78 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 79) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 79.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 79.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000909s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 79.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 79) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 79.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000293s Info: iter=79 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 80) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 80.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 80.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000848s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 80.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 80) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 80.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000485s Info: iter=80 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 81) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 81.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 81.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000895s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 81.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 81) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 81.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001096s Info: iter=81 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 82) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 82.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 82.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011635s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 82.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 82) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 82.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000532s Info: iter=82 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 83) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 83.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 83.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000735s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 83) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 83.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 83.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000358s Info: iter=83 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 84) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 84.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 84.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000784s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 84) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 84.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 84.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000341s Info: iter=84 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 85) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 85.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 85.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001395s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 85.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 85) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 85.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001329s Info: iter=85 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 86.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 86) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 86.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011559s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 86) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 86.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 86.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000639s Info: iter=86 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 87) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 87.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 87.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000983s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 87) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 87.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 87.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000374s Info: iter=87 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 88) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 88.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 88.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000821s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 88.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 88) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 88.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000975s Info: iter=88 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 89) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 89.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 89.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001256s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 89.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 89) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 89.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011390s Info: iter=89 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 22 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 90) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 90.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 90.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000686s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 90.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 90) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 90.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000665s Info: iter=90 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 91) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 91.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 91.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000363s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 20) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (5, 21) Info: src (10, 15) dst (5, 17) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 19) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 91) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 91.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 91.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001016s Info: iter=91 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 92) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 92.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 92.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001047s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 92.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 92) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 92.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001327s Info: iter=92 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 19) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 93) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 93.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 93.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.011398s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 93.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 93) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 93.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000706s Info: iter=93 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (16, 18) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (16, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 14) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 94) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 94.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 94.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000792s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 94.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 94) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 94.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000503s Info: iter=94 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 32 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 95) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 95.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 95.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000800s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 95.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 95) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 95.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000768s Info: iter=95 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 96) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 96.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 96.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001543s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 96.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 96) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 96.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.011141s Info: iter=96 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 97.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 97) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 97.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000544s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 97) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 97.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 97.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000706s Info: iter=97 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 98.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 98) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 98.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000506s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 11) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 12) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (4, 11) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 10) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 98) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 98.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 98.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000989s Info: iter=98 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 19) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 20) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 99) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 99.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 99.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001274s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 99.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 99) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 99.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001167s Info: iter=99 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 100.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 100) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 100.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.010992s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (5, 14) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 18) Info: src (10, 15) dst (4, 14) Info: src (10, 15) dst (4, 10) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 13) Info: src (10, 15) dst (5, 9) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 100) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 100.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 100.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000983s Info: iter=100 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 101.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 101) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 101.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000761s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 12) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 101) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 101.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 101.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.000829s Info: iter=101 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 17 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 102.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 102) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 102.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000647s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 102) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 102.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 102.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001639s Info: iter=102 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (12, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 19) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 11) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 10) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 11) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (15, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 103) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 103.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 103.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.012087s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Routed (explored 15 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 103.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 103) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 103.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000426s Info: iter=103 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 104.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 104) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 104.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001039s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 104) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 104.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 104.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001191s Info: iter=104 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 105) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 105.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 105.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001538s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 19 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 105.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 105) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 105.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001520s Info: iter=105 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 106.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 106) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 106.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.010514s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 106) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 106.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 106.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001074s Info: iter=106 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 107.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 107) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 107.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000945s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 17) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 13) Routed (explored 28 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 107) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 107.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 107.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001576s Info: iter=107 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (12, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 20) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (12, 21) Info: src (10, 15) dst (12, 17) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 20) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 12) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (15, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (12, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 18) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 10) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 13) Info: src (10, 15) dst (12, 9) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 10) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (15, 14) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (5, 16) Info: src (10, 15) dst (4, 16) Info: src (10, 15) dst (4, 12) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 15) Info: src (10, 15) dst (5, 11) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 12) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Routed (explored 30 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 108) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 108.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 108.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.002429s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 108.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 108) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 108.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.010430s Info: iter=108 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Routed (explored 24 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 109) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 109.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 109.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001049s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Routed (explored 23 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 109.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 109) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 109.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001094s Info: iter=109 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 26 wires): fwd wire: INT_L_X2Y185/SR1BEG3 (curr 0 hist 1.000000 share 1) fwd pip: INT_L_X2Y185/219.455 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L20 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/119.171 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_AMUX (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/386.119 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/AMUX (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AOUTMUX/A5FF_Q (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A5FF_Q (curr 0 hist 1.000000 share 110) bwd pip: INT_L_X2Y185/460.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 110.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 110.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.319 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A1 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_1' (is_bb = 1) took 0.001257s Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 10) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 12) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Routed (explored 21 wires): fwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 110.000000 share 1) fwd pip: INT_L_X2Y185/210.185 (11, 15) fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 110) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 110.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.001573s Info: iter=110 wires=41 overused=2 overuse=2 archfail=NA Routing net 'net_2'... Routing arc 0 of net 'net_2' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A6LUT_O6 -> dst_wire = SITEWIRE/SLICE_X0Y185/A2 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (10, 15) dst (11, 15) Info: src (11, 15) dst (10, 15) Info: src (15, 15) dst (10, 15) Info: src (21, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (12, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (12, 14) dst (10, 15) Info: src (15, 11) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (11, 13) dst (10, 15) Info: src (11, 9) dst (10, 15) Info: src (11, 14) dst (10, 15) Info: src (5, 14) dst (10, 15) Info: src (4, 11) dst (10, 15) Info: src (12, 16) dst (10, 15) Info: src (15, 19) dst (10, 15) Info: src (11, 16) dst (10, 15) Info: src (11, 15) dst (10, 15) Info: src (11, 17) dst (10, 15) Info: src (11, 21) dst (10, 15) Info: src (5, 16) dst (10, 15) Info: src (4, 19) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (4, 15) dst (10, 15) Info: src (5, 15) dst (10, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 14) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (4, 15) Info: src (10, 15) dst (11, 16) Info: src (10, 15) dst (11, 15) Info: src (10, 15) dst (11, 16) Routed (explored 16 wires): fwd wire: INT_L_X2Y185/LOGIC_OUTS_L12 (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/112.162 (10, 15) fwd wire: CLBLL_L_X2Y185/CLBLL_LL_A (curr 0 hist 1.000000 share 1) fwd pip: CLBLL_L_X2Y185/385.112 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A (curr 0 hist 1.000000 share 1) fwd pip: SITEPIP/SLICE_X0Y185/AUSED/A6LUT_O6 (10, 15) fwd wire: SITEWIRE/SLICE_X0Y185/A6LUT_O6 (curr 0 hist 1.000000 share 111) bwd pip: INT_L_X2Y185/210.185 (11, 15) bwd wire: INT_L_X2Y185/IMUX_L8 (curr 1 hist 111.000000 share 1) bwd pip: CLBLL_L_X2Y185/98.117 (10, 15) bwd wire: CLBLL_L_X2Y185/CLBLL_LL_A5 (curr 1 hist 111.000000 share 1) bwd pip: CLBLL_L_X2Y185/117.320 (10, 15) bwd wire: SITEWIRE/SLICE_X0Y185/A2 (curr 0 hist 1.000000 share 1) Routing arc 0 of net 'net_2' (is_bb = 1) took 0.000839s Routing net 'net_1'... Routing arc 0 of net 'net_1' (10, 15) -> (10, 15) crit=1.000 crit_weight=0.000 src_wire = SITEWIRE/SLICE_X0Y185/A5FF_Q -> dst_wire = SITEWIRE/SLICE_X0Y185/A1 Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (10, 15) Info: src (10, 15) dst (11, 15)