From f5bfbd99498905c9295d64da60d6f42741822bfc Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 14:17:14 +0100 Subject: [PATCH 01/20] [image_gen] add "_c" suffix to VHDL constants --- sw/image_gen/image_gen.c | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/sw/image_gen/image_gen.c b/sw/image_gen/image_gen.c index a55353ad7..2a2d22579 100644 --- a/sw/image_gen/image_gen.c +++ b/sw/image_gen/image_gen.c @@ -212,8 +212,8 @@ int main(int argc, char *argv[]) { "\n" "package neorv32_application_image is\n" "\n" - "constant application_init_size : natural := %lu; -- bytes\n" - "constant application_init_image : mem32_t := (\n", + "constant application_init_size_c : natural := %lu; -- bytes\n" + "constant application_init_image_c : mem32_t := (\n", argv[4], argv[2], compile_time, raw_exe_size); fputs(tmp_string, output); @@ -276,8 +276,8 @@ int main(int argc, char *argv[]) { "\n" "package neorv32_bootloader_image is\n" "\n" - "constant bootloader_init_size : natural := %lu; -- bytes\n" - "constant bootloader_init_image : mem32_t := (\n", + "constant bootloader_init_size_c : natural := %lu; -- bytes\n" + "constant bootloader_init_image_c : mem32_t := (\n", argv[4], argv[2], compile_time, raw_exe_size); fputs(tmp_string, output); From c3b792118911dd112cd23cce0b3b13c531bdee31 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 20:41:40 +0100 Subject: [PATCH 02/20] =?UTF-8?q?=E2=9A=A0=EF=B8=8F=20[sw]=20rework=20IO?= =?UTF-8?q?=20address=20map?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- sw/lib/include/neorv32.h | 70 +++++++++++++++++++--------------------- sw/svd/neorv32.svd | 44 ++++++++++++------------- 2 files changed, 56 insertions(+), 58 deletions(-) diff --git a/sw/lib/include/neorv32.h b/sw/lib/include/neorv32.h index 007153ac7..740e2538e 100644 --- a/sw/lib/include/neorv32.h +++ b/sw/lib/include/neorv32.h @@ -32,11 +32,9 @@ extern "C" { **************************************************************************/ /**@{*/ /** XIP-mapped memory base address */ -#define XIP_MEM_BASE_ADDRESS (0xE0000000U) -/** bootloader memory base address */ -#define BOOTLOADER_BASE_ADDRESS (0xFFFFC000U) +#define XIP_MEM_BASE_ADDRESS (0xE0000000U) /** peripheral/IO devices memory base address */ -#define IO_BASE_ADDRESS (0xFFFFE000U) +#define IO_BASE_ADDRESS (0XFFE00000U) /**@}*/ @@ -44,38 +42,38 @@ extern "C" { * @name IO Address Space Map - Peripheral/IO Devices **************************************************************************/ /**@{*/ -//#define NEORV32_???_BASE (0xFFFFE000U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE100U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE200U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE300U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE400U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE500U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE600U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE700U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE800U) /**< reserved */ -//#define NEORV32_???_BASE (0xFFFFE900U) /**< reserved */ -#define NEORV32_TWD_BASE (0xFFFFEA00U) /**< Two-Wire Device (TWD) */ -#define NEORV32_CFS_BASE (0xFFFFEB00U) /**< Custom Functions Subsystem (CFS) */ -#define NEORV32_SLINK_BASE (0xFFFFEC00U) /**< Stream Link Interface (SLINK) */ -#define NEORV32_DMA_BASE (0xFFFFED00U) /**< Direct Memory Access Controller (DMA) */ -#define NEORV32_CRC_BASE (0xFFFFEE00U) /**< Cyclic Redundancy Check Unit (DMA) */ -#define NEORV32_XIP_BASE (0xFFFFEF00U) /**< Execute In Place Module (XIP) */ -#define NEORV32_PWM_BASE (0xFFFFF000U) /**< Pulse Width Modulation Controller (PWM) */ -#define NEORV32_GPTMR_BASE (0xFFFFF100U) /**< General Purpose Timer (GPTMR) */ -#define NEORV32_ONEWIRE_BASE (0xFFFFF200U) /**< 1-Wire Interface Controller (ONEWIRE) */ -#define NEORV32_XIRQ_BASE (0xFFFFF300U) /**< External Interrupt Controller (XIRQ) */ -#define NEORV32_MTIME_BASE (0xFFFFF400U) /**< Machine System Timer (MTIME) */ -#define NEORV32_UART0_BASE (0xFFFFF500U) /**< Primary Universal Asynchronous Receiver and Transmitter (UART0) */ -#define NEORV32_UART1_BASE (0xFFFFF600U) /**< Secondary Universal Asynchronous Receiver and Transmitter (UART1) */ -#define NEORV32_SDI_BASE (0xFFFFF700U) /**< Serial Data Interface (SDI) */ -#define NEORV32_SPI_BASE (0xFFFFF800U) /**< Serial Peripheral Interface Controller (SPI) */ -#define NEORV32_TWI_BASE (0xFFFFF900U) /**< Two-Wire Interface Controller (TWI) */ -#define NEORV32_TRNG_BASE (0xFFFFFA00U) /**< True Random Number Generator (TRNG) */ -#define NEORV32_WDT_BASE (0xFFFFFB00U) /**< Watchdog Timer (WDT) */ -#define NEORV32_GPIO_BASE (0xFFFFFC00U) /**< General Purpose Input/Output Port Controller (GPIO) */ -#define NEORV32_NEOLED_BASE (0xFFFFFD00U) /**< Smart LED Hardware Interface (NEOLED) */ -#define NEORV32_SYSINFO_BASE (0xFFFFFE00U) /**< System Information Memory (SYSINFO) */ -#define NEORV32_DM_BASE (0xFFFFFF00U) /**< On-Chip Debugger - Debug Module (OCD) */ +#define NEORV32_BOOTROM_BASE (0xFFE00000U) /**< Bootloader ROM (BOOTROM) */ +//#define NEORV32_???_BASE (0xFFE10000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE20000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE30000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE40000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE50000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE60000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE70000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE80000U) /**< reserved */ +//#define NEORV32_???_BASE (0xFFE90000U) /**< reserved */ +#define NEORV32_TWD_BASE (0xFFEA0000U) /**< Two-Wire Device (TWD) */ +#define NEORV32_CFS_BASE (0xFFEB0000U) /**< Custom Functions Subsystem (CFS) */ +#define NEORV32_SLINK_BASE (0xFFEC0000U) /**< Stream Link Interface (SLINK) */ +#define NEORV32_DMA_BASE (0xFFED0000U) /**< Direct Memory Access Controller (DMA) */ +#define NEORV32_CRC_BASE (0xFFEE0000U) /**< Cyclic Redundancy Check Unit (DMA) */ +#define NEORV32_XIP_BASE (0xFFEF0000U) /**< Execute In Place Module (XIP) */ +#define NEORV32_PWM_BASE (0xFFF00000U) /**< Pulse Width Modulation Controller (PWM) */ +#define NEORV32_GPTMR_BASE (0xFFF10000U) /**< General Purpose Timer (GPTMR) */ +#define NEORV32_ONEWIRE_BASE (0xFFF20000U) /**< 1-Wire Interface Controller (ONEWIRE) */ +#define NEORV32_XIRQ_BASE (0xFFF30000U) /**< External Interrupt Controller (XIRQ) */ +#define NEORV32_MTIME_BASE (0xFFF40000U) /**< Machine System Timer (MTIME) */ +#define NEORV32_UART0_BASE (0xFFF50000U) /**< Primary Universal Asynchronous Receiver and Transmitter (UART0) */ +#define NEORV32_UART1_BASE (0xFFF60000U) /**< Secondary Universal Asynchronous Receiver and Transmitter (UART1) */ +#define NEORV32_SDI_BASE (0xFFF70000U) /**< Serial Data Interface (SDI) */ +#define NEORV32_SPI_BASE (0xFFF80000U) /**< Serial Peripheral Interface Controller (SPI) */ +#define NEORV32_TWI_BASE (0xFFF90000U) /**< Two-Wire Interface Controller (TWI) */ +#define NEORV32_TRNG_BASE (0xFFFA0000U) /**< True Random Number Generator (TRNG) */ +#define NEORV32_WDT_BASE (0xFFFB0000U) /**< Watchdog Timer (WDT) */ +#define NEORV32_GPIO_BASE (0xFFFC0000U) /**< General Purpose Input/Output Port Controller (GPIO) */ +#define NEORV32_NEOLED_BASE (0xFFFD0000U) /**< Smart LED Hardware Interface (NEOLED) */ +#define NEORV32_SYSINFO_BASE (0xFFFE0000U) /**< System Information Memory (SYSINFO) */ +#define NEORV32_DM_BASE (0xFFFF0000U) /**< On-Chip Debugger - Debug Module (OCD) */ /**@}*/ diff --git a/sw/svd/neorv32.svd b/sw/svd/neorv32.svd index ccc53b1d2..b1a3309e9 100644 --- a/sw/svd/neorv32.svd +++ b/sw/svd/neorv32.svd @@ -39,13 +39,13 @@ CFS Custom functions subsystem - 0xFFFFEB00 + 0xFFEB0000 CFS_FIRQ1 0 - 0x100 + 0x10000 registers @@ -122,7 +122,7 @@ SDI Serial data interface controller - 0xFFFFF700 + 0xFFF70000 SDI_FIRQ11 @@ -230,7 +230,7 @@ SLINK Stream Link Interface - 0xFFFFEC00 + 0xFFEC0000 SLINK_RX_FIRQ14 SLINK_TX_FIRQ15 @@ -383,7 +383,7 @@ DMA Direct memory access controller - 0xFFFFED00 + 0xFFED0000 DMA_FIRQ10 @@ -499,7 +499,7 @@ CRC Cyclic redundancy check unit - 0xFFFFEE00 + 0xFFEE0000 0 @@ -536,7 +536,7 @@ PWM Pulse-width modulation controller - 0xFFFFF000 + 0xFFF00000 0 @@ -585,7 +585,7 @@ XIP Execute In Place Module - 0xFFFFEF00 + 0xFFEF0000 0 @@ -686,7 +686,7 @@ GPTMR General purpose timer - 0xFFFFF100 + 0xFFF10000 GPTMR_FIRQ12 @@ -749,7 +749,7 @@ ONEWIRE 1-Wire Interface Controller - 0xFFFFF200 + 0xFFF20000 ONEWIRE_FIRQ13 @@ -848,7 +848,7 @@ XIRQ External interrupts controller - 0xFFFFF300 + 0xFFF30000 XIRQ_FIRQ8 @@ -887,7 +887,7 @@ MTIME Machine timer - 0xFFFFF400 + 0xFFF40000 0 @@ -924,7 +924,7 @@ UART0 Primary universal asynchronous receiver and transmitter - 0xFFFFF500 + 0xFFF50000 UART0_RX_FIRQ2 UART0_TX_FIRQ3 @@ -1064,7 +1064,7 @@ UART1 Secondary universal asynchronous receiver and transmitter - 0xFFFFF600 + 0xFFF60000 UART1_RX_FIRQ4 UART1_TX_FIRQ5 @@ -1081,7 +1081,7 @@ SPI Serial peripheral interface controller - 0xFFFFF800 + 0xFFF80000 SPI_FIRQ6 @@ -1216,7 +1216,7 @@ TWI Two-wire interface controller - 0xFFFFF900 + 0xFFF90000 TWI_FIRQ7 @@ -1320,7 +1320,7 @@ TWD Two-wire device controller - 0xFFFFEA00 + 0xFFEA0000 TWD_FIRQ0 @@ -1446,7 +1446,7 @@ TRNG True random number generator - 0xFFFFFA00 + 0xFFFA0000 0 @@ -1511,7 +1511,7 @@ WDT Watchdog timer - 0xFFFFFB00 + 0xFFFB0000 0 @@ -1584,7 +1584,7 @@ GPIO General purpose input/output port - 0xFFFFFC00 + 0xFFFC0000 0 @@ -1623,7 +1623,7 @@ NEOLED Smart LED hardware interface - 0xFFFFFD00 + 0xFFFD0000 NEOLED_FIRQ9 @@ -1724,7 +1724,7 @@ SYSINFO System configuration information memory - 0xFFFFFE00 + 0xFFFE0000 0 From 752d663644ae373dd08ff3bbfe2515a0687dfbdf Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 20:45:36 +0100 Subject: [PATCH 03/20] [rtl] cleanup bus gateway - remove one device port - remove privileged-access-only PMA --- rtl/core/neorv32_bus.vhd | 51 ++++++++++++---------------------------- 1 file changed, 15 insertions(+), 36 deletions(-) diff --git a/rtl/core/neorv32_bus.vhd b/rtl/core/neorv32_bus.vhd index 75a330824..d1e2cbea5 100644 --- a/rtl/core/neorv32_bus.vhd +++ b/rtl/core/neorv32_bus.vhd @@ -228,8 +228,8 @@ end neorv32_bus_reg_rtl; -- ================================================================================ -- -- NEORV32 SoC - Processor Bus Infrastructure: Section Gateway -- -- -------------------------------------------------------------------------------- -- --- Bus gateway to distribute accesses to 5 non-overlapping address sub-spaces -- --- (A to E). Note that the sub-spaces have to be aligned to their individual sizes. -- +-- Bus gateway to distribute accesses to 4 non-overlapping address sub-spaces -- +-- (A to D). Note that the sub-spaces have to be aligned to their individual sizes. -- -- All accesses that do not match any of these sections are redirected to the "X" -- -- port. The gateway-internal bus monitor ensures that all accesses are completed -- -- within a bound time window (if port's *_TMO_EN is true). Otherwise, a bus error -- @@ -257,35 +257,24 @@ entity neorv32_bus_gateway is A_BASE : std_ulogic_vector(31 downto 0); -- port address space base address A_SIZE : natural; -- port address space size in bytes (power of two), aligned to size A_TMO_EN : boolean; -- port access timeout enable - A_PRIV : boolean; -- privileged (M-mode) access only -- port B -- B_ENABLE : boolean; B_BASE : std_ulogic_vector(31 downto 0); B_SIZE : natural; B_TMO_EN : boolean; - B_PRIV : boolean; -- port C -- C_ENABLE : boolean; C_BASE : std_ulogic_vector(31 downto 0); C_SIZE : natural; C_TMO_EN : boolean; - C_PRIV : boolean; -- port D -- D_ENABLE : boolean; D_BASE : std_ulogic_vector(31 downto 0); D_SIZE : natural; D_TMO_EN : boolean; - D_PRIV : boolean; - -- port E -- - E_ENABLE : boolean; - E_BASE : std_ulogic_vector(31 downto 0); - E_SIZE : natural; - E_TMO_EN : boolean; - E_PRIV : boolean; -- port X (the void) -- X_ENABLE : boolean; - X_TMO_EN : boolean; - X_PRIV : boolean + X_TMO_EN : boolean ); port ( -- global control -- @@ -303,8 +292,6 @@ entity neorv32_bus_gateway is c_rsp_i : in bus_rsp_t; d_req_o : out bus_req_t; d_rsp_i : in bus_rsp_t; - e_req_o : out bus_req_t; - e_rsp_i : in bus_rsp_t; x_req_o : out bus_req_t; x_rsp_i : in bus_rsp_t ); @@ -313,22 +300,20 @@ end neorv32_bus_gateway; architecture neorv32_bus_gateway_rtl of neorv32_bus_gateway is -- port select -- - signal port_sel : std_ulogic_vector(5 downto 0); + signal port_sel : std_ulogic_vector(4 downto 0); -- port enable and privileged access lists -- - type port_bool_list_t is array (0 to 5) of boolean; - constant port_en_list_c : port_bool_list_t := (A_ENABLE, B_ENABLE, C_ENABLE, D_ENABLE, E_ENABLE, X_ENABLE); - constant priv_acc_list_c : port_bool_list_t := (A_PRIV, B_PRIV, C_PRIV, D_PRIV, E_PRIV, X_PRIV); + type port_bool_list_t is array (0 to 4) of boolean; + constant port_en_list_c : port_bool_list_t := (A_ENABLE, B_ENABLE, C_ENABLE, D_ENABLE, X_ENABLE); -- port timeout enable list -- - constant tmo_en_list_c : std_ulogic_vector(5 downto 0) := ( - bool_to_ulogic_f(X_TMO_EN), bool_to_ulogic_f(E_TMO_EN), bool_to_ulogic_f(D_TMO_EN), - bool_to_ulogic_f(C_TMO_EN), bool_to_ulogic_f(B_TMO_EN), bool_to_ulogic_f(A_TMO_EN) + constant tmo_en_list_c : std_ulogic_vector(4 downto 0) := ( + bool_to_ulogic_f(X_TMO_EN), bool_to_ulogic_f(D_TMO_EN), bool_to_ulogic_f(C_TMO_EN), bool_to_ulogic_f(B_TMO_EN), bool_to_ulogic_f(A_TMO_EN) ); -- gateway ports combined as arrays -- - type port_req_t is array (0 to 5) of bus_req_t; - type port_rsp_t is array (0 to 5) of bus_rsp_t; + type port_req_t is array (0 to 4) of bus_req_t; + type port_rsp_t is array (0 to 4) of bus_rsp_t; signal port_req : port_req_t; signal port_rsp : port_rsp_t; @@ -352,10 +337,9 @@ begin port_sel(1) <= '1' when B_ENABLE and (req_i.addr(31 downto index_size_f(B_SIZE)) = B_BASE(31 downto index_size_f(B_SIZE))) else '0'; port_sel(2) <= '1' when C_ENABLE and (req_i.addr(31 downto index_size_f(C_SIZE)) = C_BASE(31 downto index_size_f(C_SIZE))) else '0'; port_sel(3) <= '1' when D_ENABLE and (req_i.addr(31 downto index_size_f(D_SIZE)) = D_BASE(31 downto index_size_f(D_SIZE))) else '0'; - port_sel(4) <= '1' when E_ENABLE and (req_i.addr(31 downto index_size_f(E_SIZE)) = E_BASE(31 downto index_size_f(E_SIZE))) else '0'; -- accesses to the "void" are redirected to the X port -- - port_sel(5) <= '1' when X_ENABLE and (port_sel(4 downto 0) = "00000") else '0'; + port_sel(4) <= '1' when X_ENABLE and (port_sel(3 downto 0) = "0000") else '0'; -- Gateway Ports -------------------------------------------------------------------------- @@ -364,21 +348,16 @@ begin b_req_o <= port_req(1); port_rsp(1) <= b_rsp_i; c_req_o <= port_req(2); port_rsp(2) <= c_rsp_i; d_req_o <= port_req(3); port_rsp(3) <= d_rsp_i; - e_req_o <= port_req(4); port_rsp(4) <= e_rsp_i; - x_req_o <= port_req(5); port_rsp(5) <= x_rsp_i; + x_req_o <= port_req(4); port_rsp(4) <= x_rsp_i; -- bus request -- request: process(req_i, port_sel) begin - for i in 0 to 5 loop + for i in 0 to 4 loop port_req(i) <= req_terminate_c; if port_en_list_c(i) then -- port enabled port_req(i) <= req_i; - if priv_acc_list_c(i) then -- privileged-access only - port_req(i).stb <= port_sel(i) and req_i.stb and req_i.priv; - else - port_req(i).stb <= port_sel(i) and req_i.stb; - end if; + port_req(i).stb <= port_sel(i) and req_i.stb; end if; end loop; end process request; @@ -388,7 +367,7 @@ begin variable tmp_v : bus_rsp_t; begin tmp_v := rsp_terminate_c; -- start with all-zero - for i in 0 to 5 loop -- OR all response signals + for i in 0 to 4 loop -- OR all response signals if port_en_list_c(i) then -- port enabled tmp_v.data := tmp_v.data or port_rsp(i).data; tmp_v.ack := tmp_v.ack or port_rsp(i).ack; From 5d0ecf57c70914ba565236c1a85f2e50e9a63e16 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 20:46:12 +0100 Subject: [PATCH 04/20] [rtl] top: move bootrom to IO region --- rtl/core/neorv32_top.vhd | 204 ++++++++++++++++++--------------------- 1 file changed, 95 insertions(+), 109 deletions(-) diff --git a/rtl/core/neorv32_top.vhd b/rtl/core/neorv32_top.vhd index 716ecd185..08c74e9c0 100644 --- a/rtl/core/neorv32_top.vhd +++ b/rtl/core/neorv32_top.vhd @@ -268,7 +268,7 @@ architecture neorv32_top_rtl of neorv32_top is constant bootrom_en_c : boolean := boolean(BOOT_MODE_SELECT = 0); constant imem_as_rom_c : boolean := boolean(BOOT_MODE_SELECT = 2); constant cpu_boot_addr_c : std_ulogic_vector(31 downto 0) := - cond_sel_suv_f(boolean(BOOT_MODE_SELECT = 0), mem_boot_base_c, + cond_sel_suv_f(boolean(BOOT_MODE_SELECT = 0), base_io_bootrom_c, cond_sel_suv_f(boolean(BOOT_MODE_SELECT = 1), BOOT_ADDR_CUSTOM, cond_sel_suv_f(boolean(BOOT_MODE_SELECT = 2), mem_imem_base_c, x"00000000"))); @@ -314,12 +314,12 @@ architecture neorv32_top_rtl of neorv32_top is signal cpu_i_rsp, cpu_d_rsp, icache_rsp, dcache_rsp, core_rsp, main_rsp, main2_rsp, dma_rsp : bus_rsp_t; -- bus: main sections -- - signal imem_req, dmem_req, xipcache_req, xip_req, boot_req, io_req, xcache_req, xbus_req : bus_req_t; - signal imem_rsp, dmem_rsp, xipcache_rsp, xip_rsp, boot_rsp, io_rsp, xcache_rsp, xbus_rsp : bus_rsp_t; + signal imem_req, dmem_req, xipcache_req, xip_req, io_req, xcache_req, xbus_req : bus_req_t; + signal imem_rsp, dmem_rsp, xipcache_rsp, xip_rsp, io_rsp, xcache_rsp, xbus_rsp : bus_rsp_t; -- bus: IO devices -- type io_devices_enum_t is ( - IODEV_OCD, IODEV_SYSINFO, IODEV_NEOLED, IODEV_GPIO, IODEV_WDT, IODEV_TRNG, IODEV_TWI, + IODEV_BOOTROM, IODEV_OCD, IODEV_SYSINFO, IODEV_NEOLED, IODEV_GPIO, IODEV_WDT, IODEV_TRNG, IODEV_TWI, IODEV_SPI, IODEV_SDI, IODEV_UART1, IODEV_UART0, IODEV_MTIME, IODEV_XIRQ, IODEV_ONEWIRE, IODEV_GPTMR, IODEV_PWM, IODEV_XIP, IODEV_CRC, IODEV_DMA, IODEV_SLINK, IODEV_CFS, IODEV_TWD ); @@ -719,35 +719,24 @@ begin A_BASE => mem_imem_base_c, A_SIZE => imem_size_c, A_TMO_EN => true, - A_PRIV => false, -- port B: internal DMEM -- B_ENABLE => MEM_INT_DMEM_EN, B_BASE => mem_dmem_base_c, B_SIZE => dmem_size_c, B_TMO_EN => true, - B_PRIV => false, -- port C: XIP -- C_ENABLE => XIP_EN, C_BASE => mem_xip_base_c, C_SIZE => mem_xip_size_c, C_TMO_EN => false, -- no timeout for XIP accesses - C_PRIV => false, - -- port D: BOOT ROM -- - D_ENABLE => bootrom_en_c, - D_BASE => mem_boot_base_c, - D_SIZE => mem_boot_size_c, + -- port D: IO -- + D_ENABLE => true, -- always enabled (but will be trimmed if no IO devices are implemented) + D_BASE => mem_io_base_c, + D_SIZE => mem_io_size_c, D_TMO_EN => true, - D_PRIV => true, -- only privileged (M-mode) accesses are allowed - -- port E: IO -- - E_ENABLE => true, -- always enabled (but will be trimmed if no IO devices are implemented) - E_BASE => mem_io_base_c, - E_SIZE => mem_io_size_c, - E_TMO_EN => true, - E_PRIV => true, -- only privileged (M-mode) accesses are allowed -- port X (the void): XBUS -- X_ENABLE => XBUS_EN, - X_TMO_EN => false, -- timeout handled by XBUS gateway - X_PRIV => false + X_TMO_EN => false -- timeout handled by XBUS gateway ) port map ( -- global control -- @@ -763,10 +752,8 @@ begin b_rsp_i => dmem_rsp, c_req_o => xip_req, c_rsp_i => xip_rsp, - d_req_o => boot_req, - d_rsp_i => boot_rsp, - e_req_o => io_req, - e_rsp_i => io_rsp, + d_req_o => io_req, + d_rsp_i => io_rsp, x_req_o => xbus_req, x_rsp_i => xbus_rsp ); @@ -823,25 +810,6 @@ begin end generate; - -- Processor-Internal Bootloader ROM (BOOTROM) -------------------------------------------- - -- ------------------------------------------------------------------------------------------- - neorv32_boot_rom_inst_true: - if bootrom_en_c generate - neorv32_boot_rom_inst: entity neorv32.neorv32_boot_rom - port map ( - clk_i => clk_i, - rstn_i => rstn_sys, - bus_req_i => boot_req, - bus_rsp_o => boot_rsp - ); - end generate; - - neorv32_boot_rom_inst_false: - if not bootrom_en_c generate - boot_rsp <= rsp_terminate_c; - end generate; - - -- Execute In-Place Module (XIP) ---------------------------------------------------------- -- ------------------------------------------------------------------------------------------- neorv32_xip_inst_true: @@ -991,81 +959,100 @@ begin neorv32_bus_io_switch_inst: entity neorv32.neorv32_bus_io_switch generic map ( INREG_EN => true, - OUTREG_EN => false, + OUTREG_EN => true, DEV_SIZE => iodev_size_c, -- size of a single IO device - DEV_00_EN => OCD_EN, DEV_00_BASE => base_io_dm_c, - DEV_01_EN => io_sysinfo_en_c, DEV_01_BASE => base_io_sysinfo_c, - DEV_02_EN => IO_NEOLED_EN, DEV_02_BASE => base_io_neoled_c, - DEV_03_EN => io_gpio_en_c, DEV_03_BASE => base_io_gpio_c, - DEV_04_EN => IO_WDT_EN, DEV_04_BASE => base_io_wdt_c, - DEV_05_EN => IO_TRNG_EN, DEV_05_BASE => base_io_trng_c, - DEV_06_EN => IO_TWI_EN, DEV_06_BASE => base_io_twi_c, - DEV_07_EN => IO_SPI_EN, DEV_07_BASE => base_io_spi_c, - DEV_08_EN => IO_SDI_EN, DEV_08_BASE => base_io_sdi_c, - DEV_09_EN => IO_UART1_EN, DEV_09_BASE => base_io_uart1_c, - DEV_10_EN => IO_UART0_EN, DEV_10_BASE => base_io_uart0_c, - DEV_11_EN => IO_MTIME_EN, DEV_11_BASE => base_io_mtime_c, - DEV_12_EN => io_xirq_en_c, DEV_12_BASE => base_io_xirq_c, - DEV_13_EN => IO_ONEWIRE_EN, DEV_13_BASE => base_io_onewire_c, - DEV_14_EN => IO_GPTMR_EN, DEV_14_BASE => base_io_gptmr_c, - DEV_15_EN => io_pwm_en_c, DEV_15_BASE => base_io_pwm_c, - DEV_16_EN => XIP_EN, DEV_16_BASE => base_io_xip_c, - DEV_17_EN => IO_CRC_EN, DEV_17_BASE => base_io_crc_c, - DEV_18_EN => IO_DMA_EN, DEV_18_BASE => base_io_dma_c, - DEV_19_EN => IO_SLINK_EN, DEV_19_BASE => base_io_slink_c, - DEV_20_EN => IO_CFS_EN, DEV_20_BASE => base_io_cfs_c, - DEV_21_EN => IO_TWD_EN, DEV_21_BASE => base_io_twd_c, - DEV_22_EN => false, DEV_22_BASE => (others => '0'), -- reserved - DEV_23_EN => false, DEV_23_BASE => (others => '0'), -- reserved - DEV_24_EN => false, DEV_24_BASE => (others => '0'), -- reserved - DEV_25_EN => false, DEV_25_BASE => (others => '0'), -- reserved - DEV_26_EN => false, DEV_26_BASE => (others => '0'), -- reserved - DEV_27_EN => false, DEV_27_BASE => (others => '0'), -- reserved - DEV_28_EN => false, DEV_28_BASE => (others => '0'), -- reserved - DEV_29_EN => false, DEV_29_BASE => (others => '0'), -- reserved - DEV_30_EN => false, DEV_30_BASE => (others => '0'), -- reserved - DEV_31_EN => false, DEV_31_BASE => (others => '0') -- reserved + DEV_00_EN => bootrom_en_c, DEV_00_BASE => base_io_bootrom_c, + DEV_01_EN => false, DEV_01_BASE => (others => '0'), -- reserved + DEV_02_EN => false, DEV_02_BASE => (others => '0'), -- reserved + DEV_03_EN => false, DEV_03_BASE => (others => '0'), -- reserved + DEV_04_EN => false, DEV_04_BASE => (others => '0'), -- reserved + DEV_05_EN => false, DEV_05_BASE => (others => '0'), -- reserved + DEV_06_EN => false, DEV_06_BASE => (others => '0'), -- reserved + DEV_07_EN => false, DEV_07_BASE => (others => '0'), -- reserved + DEV_08_EN => false, DEV_08_BASE => (others => '0'), -- reserved + DEV_09_EN => false, DEV_09_BASE => (others => '0'), -- reserved + DEV_10_EN => IO_TWD_EN, DEV_10_BASE => base_io_twd_c, + DEV_11_EN => IO_CFS_EN, DEV_11_BASE => base_io_cfs_c, + DEV_12_EN => IO_SLINK_EN, DEV_12_BASE => base_io_slink_c, + DEV_13_EN => IO_DMA_EN, DEV_13_BASE => base_io_dma_c, + DEV_14_EN => IO_CRC_EN, DEV_14_BASE => base_io_crc_c, + DEV_15_EN => XIP_EN, DEV_15_BASE => base_io_xip_c, + DEV_16_EN => io_pwm_en_c, DEV_16_BASE => base_io_pwm_c, + DEV_17_EN => IO_GPTMR_EN, DEV_17_BASE => base_io_gptmr_c, + DEV_18_EN => IO_ONEWIRE_EN, DEV_18_BASE => base_io_onewire_c, + DEV_19_EN => io_xirq_en_c, DEV_19_BASE => base_io_xirq_c, + DEV_20_EN => IO_MTIME_EN, DEV_20_BASE => base_io_mtime_c, + DEV_21_EN => IO_UART0_EN, DEV_21_BASE => base_io_uart0_c, + DEV_22_EN => IO_UART1_EN, DEV_22_BASE => base_io_uart1_c, + DEV_23_EN => IO_SDI_EN, DEV_23_BASE => base_io_sdi_c, + DEV_24_EN => IO_SPI_EN, DEV_24_BASE => base_io_spi_c, + DEV_25_EN => IO_TWI_EN, DEV_25_BASE => base_io_twi_c, + DEV_26_EN => IO_TRNG_EN, DEV_26_BASE => base_io_trng_c, + DEV_27_EN => IO_WDT_EN, DEV_27_BASE => base_io_wdt_c, + DEV_28_EN => io_gpio_en_c, DEV_28_BASE => base_io_gpio_c, + DEV_29_EN => IO_NEOLED_EN, DEV_29_BASE => base_io_neoled_c, + DEV_30_EN => io_sysinfo_en_c, DEV_30_BASE => base_io_sysinfo_c, + DEV_31_EN => OCD_EN, DEV_31_BASE => base_io_ocd_c ) port map ( clk_i => clk_i, rstn_i => rstn_sys, main_req_i => io_req, main_rsp_o => io_rsp, - dev_00_req_o => iodev_req(IODEV_OCD), dev_00_rsp_i => iodev_rsp(IODEV_OCD), - dev_01_req_o => iodev_req(IODEV_SYSINFO), dev_01_rsp_i => iodev_rsp(IODEV_SYSINFO), - dev_02_req_o => iodev_req(IODEV_NEOLED), dev_02_rsp_i => iodev_rsp(IODEV_NEOLED), - dev_03_req_o => iodev_req(IODEV_GPIO), dev_03_rsp_i => iodev_rsp(IODEV_GPIO), - dev_04_req_o => iodev_req(IODEV_WDT), dev_04_rsp_i => iodev_rsp(IODEV_WDT), - dev_05_req_o => iodev_req(IODEV_TRNG), dev_05_rsp_i => iodev_rsp(IODEV_TRNG), - dev_06_req_o => iodev_req(IODEV_TWI), dev_06_rsp_i => iodev_rsp(IODEV_TWI), - dev_07_req_o => iodev_req(IODEV_SPI), dev_07_rsp_i => iodev_rsp(IODEV_SPI), - dev_08_req_o => iodev_req(IODEV_SDI), dev_08_rsp_i => iodev_rsp(IODEV_SDI), - dev_09_req_o => iodev_req(IODEV_UART1), dev_09_rsp_i => iodev_rsp(IODEV_UART1), - dev_10_req_o => iodev_req(IODEV_UART0), dev_10_rsp_i => iodev_rsp(IODEV_UART0), - dev_11_req_o => iodev_req(IODEV_MTIME), dev_11_rsp_i => iodev_rsp(IODEV_MTIME), - dev_12_req_o => iodev_req(IODEV_XIRQ), dev_12_rsp_i => iodev_rsp(IODEV_XIRQ), - dev_13_req_o => iodev_req(IODEV_ONEWIRE), dev_13_rsp_i => iodev_rsp(IODEV_ONEWIRE), - dev_14_req_o => iodev_req(IODEV_GPTMR), dev_14_rsp_i => iodev_rsp(IODEV_GPTMR), - dev_15_req_o => iodev_req(IODEV_PWM), dev_15_rsp_i => iodev_rsp(IODEV_PWM), - dev_16_req_o => iodev_req(IODEV_XIP), dev_16_rsp_i => iodev_rsp(IODEV_XIP), - dev_17_req_o => iodev_req(IODEV_CRC), dev_17_rsp_i => iodev_rsp(IODEV_CRC), - dev_18_req_o => iodev_req(IODEV_DMA), dev_18_rsp_i => iodev_rsp(IODEV_DMA), - dev_19_req_o => iodev_req(IODEV_SLINK), dev_19_rsp_i => iodev_rsp(IODEV_SLINK), - dev_20_req_o => iodev_req(IODEV_CFS), dev_20_rsp_i => iodev_rsp(IODEV_CFS), - dev_21_req_o => iodev_req(IODEV_TWD), dev_21_rsp_i => iodev_rsp(IODEV_TWD), - dev_22_req_o => open, dev_22_rsp_i => rsp_terminate_c, -- reserved - dev_23_req_o => open, dev_23_rsp_i => rsp_terminate_c, -- reserved - dev_24_req_o => open, dev_24_rsp_i => rsp_terminate_c, -- reserved - dev_25_req_o => open, dev_25_rsp_i => rsp_terminate_c, -- reserved - dev_26_req_o => open, dev_26_rsp_i => rsp_terminate_c, -- reserved - dev_27_req_o => open, dev_27_rsp_i => rsp_terminate_c, -- reserved - dev_28_req_o => open, dev_28_rsp_i => rsp_terminate_c, -- reserved - dev_29_req_o => open, dev_29_rsp_i => rsp_terminate_c, -- reserved - dev_30_req_o => open, dev_30_rsp_i => rsp_terminate_c, -- reserved - dev_31_req_o => open, dev_31_rsp_i => rsp_terminate_c -- reserved + dev_00_req_o => iodev_req(IODEV_BOOTROM), dev_00_rsp_i => iodev_rsp(IODEV_BOOTROM), + dev_01_req_o => open, dev_01_rsp_i => rsp_terminate_c, -- reserved + dev_02_req_o => open, dev_02_rsp_i => rsp_terminate_c, -- reserved + dev_03_req_o => open, dev_03_rsp_i => rsp_terminate_c, -- reserved + dev_04_req_o => open, dev_04_rsp_i => rsp_terminate_c, -- reserved + dev_05_req_o => open, dev_05_rsp_i => rsp_terminate_c, -- reserved + dev_06_req_o => open, dev_06_rsp_i => rsp_terminate_c, -- reserved + dev_07_req_o => open, dev_07_rsp_i => rsp_terminate_c, -- reserved + dev_08_req_o => open, dev_08_rsp_i => rsp_terminate_c, -- reserved + dev_09_req_o => open, dev_09_rsp_i => rsp_terminate_c, -- reserved + dev_10_req_o => iodev_req(IODEV_TWD), dev_10_rsp_i => iodev_rsp(IODEV_TWD), + dev_11_req_o => iodev_req(IODEV_CFS), dev_11_rsp_i => iodev_rsp(IODEV_CFS), + dev_12_req_o => iodev_req(IODEV_SLINK), dev_12_rsp_i => iodev_rsp(IODEV_SLINK), + dev_13_req_o => iodev_req(IODEV_DMA), dev_13_rsp_i => iodev_rsp(IODEV_DMA), + dev_14_req_o => iodev_req(IODEV_CRC), dev_14_rsp_i => iodev_rsp(IODEV_CRC), + dev_15_req_o => iodev_req(IODEV_XIP), dev_15_rsp_i => iodev_rsp(IODEV_XIP), + dev_16_req_o => iodev_req(IODEV_PWM), dev_16_rsp_i => iodev_rsp(IODEV_PWM), + dev_17_req_o => iodev_req(IODEV_GPTMR), dev_17_rsp_i => iodev_rsp(IODEV_GPTMR), + dev_18_req_o => iodev_req(IODEV_ONEWIRE), dev_18_rsp_i => iodev_rsp(IODEV_ONEWIRE), + dev_19_req_o => iodev_req(IODEV_XIRQ), dev_19_rsp_i => iodev_rsp(IODEV_XIRQ), + dev_20_req_o => iodev_req(IODEV_MTIME), dev_20_rsp_i => iodev_rsp(IODEV_MTIME), + dev_21_req_o => iodev_req(IODEV_UART0), dev_21_rsp_i => iodev_rsp(IODEV_UART0), + dev_22_req_o => iodev_req(IODEV_UART1), dev_22_rsp_i => iodev_rsp(IODEV_UART1), + dev_23_req_o => iodev_req(IODEV_SDI), dev_23_rsp_i => iodev_rsp(IODEV_SDI), + dev_24_req_o => iodev_req(IODEV_SPI), dev_24_rsp_i => iodev_rsp(IODEV_SPI), + dev_25_req_o => iodev_req(IODEV_TWI), dev_25_rsp_i => iodev_rsp(IODEV_TWI), + dev_26_req_o => iodev_req(IODEV_TRNG), dev_26_rsp_i => iodev_rsp(IODEV_TRNG), + dev_27_req_o => iodev_req(IODEV_WDT), dev_27_rsp_i => iodev_rsp(IODEV_WDT), + dev_28_req_o => iodev_req(IODEV_GPIO), dev_28_rsp_i => iodev_rsp(IODEV_GPIO), + dev_29_req_o => iodev_req(IODEV_NEOLED), dev_29_rsp_i => iodev_rsp(IODEV_NEOLED), + dev_30_req_o => iodev_req(IODEV_SYSINFO), dev_30_rsp_i => iodev_rsp(IODEV_SYSINFO), + dev_31_req_o => iodev_req(IODEV_OCD), dev_31_rsp_i => iodev_rsp(IODEV_OCD) ); + -- Processor-Internal Bootloader ROM (BOOTROM) -------------------------------------------- + -- ------------------------------------------------------------------------------------------- + neorv32_boot_rom_inst_true: + if bootrom_en_c generate + neorv32_boot_rom_inst: entity neorv32.neorv32_boot_rom + port map ( + clk_i => clk_i, + rstn_i => rstn_sys, + bus_req_i => iodev_req(IODEV_BOOTROM), + bus_rsp_o => iodev_rsp(IODEV_BOOTROM) + ); + end generate; + + neorv32_boot_rom_inst_false: + if not bootrom_en_c generate + iodev_rsp(IODEV_BOOTROM) <= rsp_terminate_c; + end generate; + + -- Custom Functions Subsystem (CFS) ------------------------------------------------------- -- ------------------------------------------------------------------------------------------- neorv32_cfs_inst_true: @@ -1693,7 +1680,6 @@ begin -- ------------------------------------------------------------------------------------------- neorv32_debug_dm_inst: entity neorv32.neorv32_debug_dm generic map ( - CPU_BASE_ADDR => base_io_dm_c, AUTHENTICATOR => OCD_AUTHENTICATION ) port map ( From f8f6374c7437891ffba8156167abb9f776a68af2 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 20:46:38 +0100 Subject: [PATCH 05/20] =?UTF-8?q?=E2=9A=A0=EF=B8=8F=20[package]=20increase?= =?UTF-8?q?=20IO=20device=20size=20to=2064kB?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- rtl/core/neorv32_package.vhd | 82 ++++++++++++++++++------------------ 1 file changed, 40 insertions(+), 42 deletions(-) diff --git a/rtl/core/neorv32_package.vhd b/rtl/core/neorv32_package.vhd index ef6bf09fa..36a4c4fe2 100644 --- a/rtl/core/neorv32_package.vhd +++ b/rtl/core/neorv32_package.vhd @@ -29,7 +29,7 @@ package neorv32_package is -- Architecture Constants ----------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- - constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100708"; -- hardware version + constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01100709"; -- hardware version constant archid_c : natural := 19; -- official RISC-V architecture ID constant XLEN : natural := 32; -- native data path width @@ -50,54 +50,52 @@ package neorv32_package is -- Main Address Regions (base address must be aligned to the region's size) --- constant mem_imem_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- IMEM size via generic constant mem_dmem_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- DMEM size via generic - constant mem_xip_base_c : std_ulogic_vector(31 downto 0) := x"e0000000"; -- page (4MSBs) only! + constant mem_xip_base_c : std_ulogic_vector(31 downto 0) := x"e0000000"; -- page (4 MSBs) only! constant mem_xip_size_c : natural := 256*1024*1024; - constant mem_boot_base_c : std_ulogic_vector(31 downto 0) := x"ffffc000"; - constant mem_boot_size_c : natural := 8*1024; - constant mem_io_base_c : std_ulogic_vector(31 downto 0) := x"ffffe000"; - constant mem_io_size_c : natural := 8*1024; -- = 32 * iodev_size_c + constant mem_io_base_c : std_ulogic_vector(31 downto 0) := x"ffe00000"; + constant mem_io_size_c : natural := 32*64*1024; -- = 32 * iodev_size_c - -- Start of uncached memory access (256MB page / 4MSBs only) -- + -- Start of uncached memory access (256MB page / 4 MSBs only) -- constant mem_uncached_begin_c : std_ulogic_vector(31 downto 0) := x"f0000000"; -- IO Address Map (base address must be aligned to the region's size) -- - constant iodev_size_c : natural := 256; -- size of a single IO device (bytes) ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe000"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe100"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe200"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe300"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe400"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe500"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe600"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe700"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe800"; -- reserved ---constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffffe900"; -- reserved - constant base_io_twd_c : std_ulogic_vector(31 downto 0) := x"ffffea00"; - constant base_io_cfs_c : std_ulogic_vector(31 downto 0) := x"ffffeb00"; - constant base_io_slink_c : std_ulogic_vector(31 downto 0) := x"ffffec00"; - constant base_io_dma_c : std_ulogic_vector(31 downto 0) := x"ffffed00"; - constant base_io_crc_c : std_ulogic_vector(31 downto 0) := x"ffffee00"; - constant base_io_xip_c : std_ulogic_vector(31 downto 0) := x"ffffef00"; - constant base_io_pwm_c : std_ulogic_vector(31 downto 0) := x"fffff000"; - constant base_io_gptmr_c : std_ulogic_vector(31 downto 0) := x"fffff100"; - constant base_io_onewire_c : std_ulogic_vector(31 downto 0) := x"fffff200"; - constant base_io_xirq_c : std_ulogic_vector(31 downto 0) := x"fffff300"; - constant base_io_mtime_c : std_ulogic_vector(31 downto 0) := x"fffff400"; - constant base_io_uart0_c : std_ulogic_vector(31 downto 0) := x"fffff500"; - constant base_io_uart1_c : std_ulogic_vector(31 downto 0) := x"fffff600"; - constant base_io_sdi_c : std_ulogic_vector(31 downto 0) := x"fffff700"; - constant base_io_spi_c : std_ulogic_vector(31 downto 0) := x"fffff800"; - constant base_io_twi_c : std_ulogic_vector(31 downto 0) := x"fffff900"; - constant base_io_trng_c : std_ulogic_vector(31 downto 0) := x"fffffa00"; - constant base_io_wdt_c : std_ulogic_vector(31 downto 0) := x"fffffb00"; - constant base_io_gpio_c : std_ulogic_vector(31 downto 0) := x"fffffc00"; - constant base_io_neoled_c : std_ulogic_vector(31 downto 0) := x"fffffd00"; - constant base_io_sysinfo_c : std_ulogic_vector(31 downto 0) := x"fffffe00"; - constant base_io_dm_c : std_ulogic_vector(31 downto 0) := x"ffffff00"; + constant iodev_size_c : natural := 64*1024; -- size of a single IO device (bytes) + constant base_io_bootrom_c : std_ulogic_vector(31 downto 0) := x"ffe00000"; +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe10000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe20000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe30000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe40000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe50000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe60000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe70000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe80000"; -- reserved +--constant base_io_???_c : std_ulogic_vector(31 downto 0) := x"ffe90000"; -- reserved + constant base_io_twd_c : std_ulogic_vector(31 downto 0) := x"ffea0000"; + constant base_io_cfs_c : std_ulogic_vector(31 downto 0) := x"ffeb0000"; + constant base_io_slink_c : std_ulogic_vector(31 downto 0) := x"ffec0000"; + constant base_io_dma_c : std_ulogic_vector(31 downto 0) := x"ffed0000"; + constant base_io_crc_c : std_ulogic_vector(31 downto 0) := x"ffee0000"; + constant base_io_xip_c : std_ulogic_vector(31 downto 0) := x"ffef0000"; + constant base_io_pwm_c : std_ulogic_vector(31 downto 0) := x"fff00000"; + constant base_io_gptmr_c : std_ulogic_vector(31 downto 0) := x"fff10000"; + constant base_io_onewire_c : std_ulogic_vector(31 downto 0) := x"fff20000"; + constant base_io_xirq_c : std_ulogic_vector(31 downto 0) := x"fff30000"; + constant base_io_mtime_c : std_ulogic_vector(31 downto 0) := x"fff40000"; + constant base_io_uart0_c : std_ulogic_vector(31 downto 0) := x"fff50000"; + constant base_io_uart1_c : std_ulogic_vector(31 downto 0) := x"fff60000"; + constant base_io_sdi_c : std_ulogic_vector(31 downto 0) := x"fff70000"; + constant base_io_spi_c : std_ulogic_vector(31 downto 0) := x"fff80000"; + constant base_io_twi_c : std_ulogic_vector(31 downto 0) := x"fff90000"; + constant base_io_trng_c : std_ulogic_vector(31 downto 0) := x"fffa0000"; + constant base_io_wdt_c : std_ulogic_vector(31 downto 0) := x"fffb0000"; + constant base_io_gpio_c : std_ulogic_vector(31 downto 0) := x"fffc0000"; + constant base_io_neoled_c : std_ulogic_vector(31 downto 0) := x"fffd0000"; + constant base_io_sysinfo_c : std_ulogic_vector(31 downto 0) := x"fffe0000"; + constant base_io_ocd_c : std_ulogic_vector(31 downto 0) := x"ffff0000"; -- On-Chip Debugger - Debug Module Entry Points (Code ROM) -- - constant dm_exc_entry_c : std_ulogic_vector(31 downto 0) := x"ffffff00"; -- = base_io_dm_c + 0, exceptions entry point - constant dm_park_entry_c : std_ulogic_vector(31 downto 0) := x"ffffff08"; -- = base_io_dm_c + 8, normal entry point + constant dm_exc_entry_c : std_ulogic_vector(31 downto 0) := x"ffffff00"; -- = base_io_ocd_c + code_rom + 0 + constant dm_park_entry_c : std_ulogic_vector(31 downto 0) := x"ffffff08"; -- = base_io_ocd_c + code_rom + 8 -- ********************************************************************************************************** -- SoC Definitions From 3df159454b60a219428524a7fb261a80bad18188 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 20:58:16 +0100 Subject: [PATCH 06/20] [changelog] add v1.10.7.9 --- CHANGELOG.md | 1 + 1 file changed, 1 insertion(+) diff --git a/CHANGELOG.md b/CHANGELOG.md index 7f452da75..ebed0c395 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -29,6 +29,7 @@ mimpid = 0x01040312 -> Version 01.04.03.12 -> v1.4.3.12 | Date | Version | Comment | Ticket | |:----:|:-------:|:--------|:------:| +| 23.12.2024 | 1.10.7.9 | :warning: rework IO/peripheral address space; :sparkles: increase device size from 256 bytes to 64kB | [#1126](https://github.com/stnolting/neorv32/pull/1126) | | 22.12.2024 | 1.10.7.8 | :warning: rename CPU tuning options / generics | [#1125](https://github.com/stnolting/neorv32/pull/1125) | | 22.12.2024 | 1.10.7.7 | :warning: move clock gating switch from processor top to CPU clock; `CLOCK_GATING_EN` is now a CPU tuning option | [#1124](https://github.com/stnolting/neorv32/pull/1124) | | 21.12.2024 | 1.10.7.6 | minor rtl cleanups and optimizations | [#1123](https://github.com/stnolting/neorv32/pull/1123) | From be8e0038f57b7754af1955f1696b07c48a27778c Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 20:58:32 +0100 Subject: [PATCH 07/20] [rtl] update SoC file-list file --- rtl/file_list_soc.f | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/rtl/file_list_soc.f b/rtl/file_list_soc.f index b0f1390b1..058201bfc 100644 --- a/rtl/file_list_soc.f +++ b/rtl/file_list_soc.f @@ -22,10 +22,10 @@ NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_application_image.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_imem.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_dmem.vhd -NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_bootloader_image.vhd -NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_boot_rom.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_xip.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_xbus.vhd +NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_bootloader_image.vhd +NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_boot_rom.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_cfs.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_sdi.vhd NEORV32_RTL_PATH_PLACEHOLDER/core/neorv32_gpio.vhd From 66fe26c83a09e38d745ebb4ac85da8fb2efa23df Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:02:10 +0100 Subject: [PATCH 08/20] [docs] update module base addresses --- docs/datasheet/soc_crc.adoc | 8 ++--- docs/datasheet/soc_dma.adoc | 8 ++--- docs/datasheet/soc_gpio.adoc | 8 ++--- docs/datasheet/soc_gptmr.adoc | 6 ++-- docs/datasheet/soc_mtime.adoc | 8 ++--- docs/datasheet/soc_neoled.adoc | 4 +-- docs/datasheet/soc_onewire.adoc | 4 +-- docs/datasheet/soc_pwm.adoc | 8 ++--- docs/datasheet/soc_sdi.adoc | 4 +-- docs/datasheet/soc_slink.adoc | 54 ++++++++++++++++----------------- docs/datasheet/soc_spi.adoc | 4 +-- docs/datasheet/soc_sysinfo.adoc | 8 ++--- docs/datasheet/soc_trng.adoc | 4 +-- docs/datasheet/soc_twd.adoc | 4 +-- docs/datasheet/soc_twi.adoc | 4 +-- docs/datasheet/soc_uart.adoc | 8 ++--- docs/datasheet/soc_wdt.adoc | 4 +-- docs/datasheet/soc_xip.adoc | 8 ++--- docs/datasheet/soc_xirq.adoc | 8 ++--- 19 files changed, 82 insertions(+), 82 deletions(-) diff --git a/docs/datasheet/soc_crc.adoc b/docs/datasheet/soc_crc.adoc index 71d70c285..98e63eb2d 100644 --- a/docs/datasheet/soc_crc.adoc +++ b/docs/datasheet/soc_crc.adoc @@ -63,10 +63,10 @@ and for CRC32-mode the entire 32-bit of `POLY` and `SREG` are used. [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.2+<| `0xffffee00` .2+<| `CTRL` <|`1:0` ^| r/w <| CRC mode select (`00` CRC8, `01`: CRC16, `10`: CRC32) +.2+<| `0xffee0000` .2+<| `CTRL` <|`1:0` ^| r/w <| CRC mode select (`00` CRC8, `01`: CRC16, `10`: CRC32) <|`31:2` ^| r/- <| _reserved_, read as zero -| `0xffffee04` | `POLY` |`31:0` | r/w | CRC polynomial -.2+<| `0xffffee08` .2+<| `DATA` <|`7:0` ^| r/w <| data input (single byte) +| `0xffee0004` | `POLY` |`31:0` | r/w | CRC polynomial +.2+<| `0xffee0008` .2+<| `DATA` <|`7:0` ^| r/w <| data input (single byte) <|`31:8` ^| r/- <| _reserved_, read as zero, writes are ignored -| `0xffffee0c` | `SREG` |`32:0` | r/w | current CRC shift register value (set start value on write) +| `0xffee000c` | `SREG` |`32:0` | r/w | current CRC shift register value (set start value on write) |======================= diff --git a/docs/datasheet/soc_dma.adoc b/docs/datasheet/soc_dma.adoc index b2d9a321c..59de193c7 100644 --- a/docs/datasheet/soc_dma.adoc +++ b/docs/datasheet/soc_dma.adoc @@ -142,7 +142,7 @@ register). [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.12+<| `0xffffed00` .12+<| `CTRL` <|`0` `DMA_CTRL_EN` ^| r/w <| DMA module enable +.12+<| `0xffed0000` .12+<| `CTRL` <|`0` `DMA_CTRL_EN` ^| r/w <| DMA module enable <|`1` `DMA_CTRL_AUTO` ^| r/w <| Enable automatic mode (FIRQ-triggered) <|`2` `DMA_CTRL_FENCE` ^| r/w <| Issue a downstream FENCE operation when DMA transfer completes (without errors) <|`7:3` _reserved_ ^| r/- <| reserved, read as zero @@ -154,9 +154,9 @@ register). <|`15` `DMA_CTRL_FIRQ_TYPE` ^| r/w <| Trigger on rising-edge (`0`) or high-level (`1`) or selected FIRQ channel <|`19:16` `DMA_CTRL_FIRQ_SEL_MSB : DMA_CTRL_FIRQ_SEL_LSB` ^| r/w <| FIRQ trigger select (FIRQ0=0 ... FIRQ15=15) <|`31:20` _reserved_ ^| r/- <| reserved, read as zero -| `0xffffed04` | `SRC_BASE` |`31:0` | r/w | Source base address (shows the last-accessed source address when read) -| `0xffffed08` | `DST_BASE` |`31:0` | r/w | Destination base address (shows the last-accessed destination address when read) -.6+<| `0xffffed0c` .6+<| `TTYPE` <|`23:0` `DMA_TTYPE_NUM_MSB : DMA_TTYPE_NUM_LSB` ^| r/w <| Number of elements to transfer (shows the last-transferred element index when read) +| `0xffed0004` | `SRC_BASE` |`31:0` | r/w | Source base address (shows the last-accessed source address when read) +| `0xffed0008` | `DST_BASE` |`31:0` | r/w | Destination base address (shows the last-accessed destination address when read) +.6+<| `0xffed000c` .6+<| `TTYPE` <|`23:0` `DMA_TTYPE_NUM_MSB : DMA_TTYPE_NUM_LSB` ^| r/w <| Number of elements to transfer (shows the last-transferred element index when read) <|`26:24` _reserved_ ^| r/- <| reserved, read as zero <|`28:27` `DMA_TTYPE_QSEL_MSB : DMA_TTYPE_QSEL_LSB` ^| r/w <| Quantity select (`00` = byte -> byte, `01` = byte -> zero-extended-word, `10` = byte -> sign-extended-word, `11` = word -> word) <|`29` `DMA_TTYPE_SRC_INC` ^| r/w <| Constant (`0`) or incrementing (`1`) source address diff --git a/docs/datasheet/soc_gpio.adoc b/docs/datasheet/soc_gpio.adoc index 2efebce04..21e58f47d 100644 --- a/docs/datasheet/soc_gpio.adoc +++ b/docs/datasheet/soc_gpio.adoc @@ -41,8 +41,8 @@ be performed within a single clock cycle. [options="header",grid="rows"] |======================= | Address | Name [C] | Bit(s) | R/W | Function -| `0xfffffc00` | `INPUT[0]` | 31:0 | r/- | parallel input port pins 31:0 -| `0xfffffc04` | `INPUT[1]` | 31:0 | r/- | parallel input port pins 63:32 -| `0xfffffc08` | `OUTPUT[0]` | 31:0 | r/w | parallel output port pins 31:0 -| `0xfffffc0c` | `OUTPUT[1]` | 31:0 | r/w | parallel output port pins 63:32 +| `0xfffc0000` | `INPUT[0]` | 31:0 | r/- | parallel input port pins 31:0 +| `0xfffc0004` | `INPUT[1]` | 31:0 | r/- | parallel input port pins 63:32 +| `0xfffc0008` | `OUTPUT[0]` | 31:0 | r/w | parallel output port pins 31:0 +| `0xfffc000c` | `OUTPUT[1]` | 31:0 | r/w | parallel output port pins 63:32 |======================= diff --git a/docs/datasheet/soc_gptmr.adoc b/docs/datasheet/soc_gptmr.adoc index 207c50a12..7edb76a87 100644 --- a/docs/datasheet/soc_gptmr.adoc +++ b/docs/datasheet/soc_gptmr.adoc @@ -62,12 +62,12 @@ stay pending until explicitly cleared by writing a 1 to `GPTMR_CTRL_IRQ_CLR`. [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.6+<| `0xfffff100` .6+<| `CTRL` <|`0` `GPTMR_CTRL_EN` ^| r/w <| Timer enable flag +.6+<| `0xfff10000` .6+<| `CTRL` <|`0` `GPTMR_CTRL_EN` ^| r/w <| Timer enable flag <|`3:1` `GPTMR_CTRL_PRSC2 : GPTMR_CTRL_PRSC0` ^| r/w <| 3-bit clock prescaler select <|`4` `GPTMR_CTRL_MODE` ^| r/w <| Operation mode (0=single-shot, 1=continuous) <|`29:5` - ^| r/- <| _reserved_, read as zero <|`30` `GPTMR_CTRL_IRQ_CLR` ^| -/w <| Write `1` to clear timer-match interrupt; auto-clears <|`31` `GPTMR_CTRL_IRQ_PND` ^| r/- <| Timer-match interrupt pending -| `0xfffff104` | `THRES` |`31:0` | r/w | Threshold value register -| `0xfffff108` | `COUNT` |`31:0` | r/- | Counter register +| `0xfff10004` | `THRES` |`31:0` | r/w | Threshold value register +| `0xfff10008` | `COUNT` |`31:0` | r/- | Counter register |======================= diff --git a/docs/datasheet/soc_mtime.adoc b/docs/datasheet/soc_mtime.adoc index 5ac67c1de..bbe541ad9 100644 --- a/docs/datasheet/soc_mtime.adoc +++ b/docs/datasheet/soc_mtime.adoc @@ -45,8 +45,8 @@ high until the interrupt request is explicitly acknowledged (e.g. writing to a u [options="header",grid="all"] |======================= | Address | Name [C] | Bits | R/W | Function -| `0xfffff400` | `TIME_LO` | 31:0 | r/w | system time, low word -| `0xfffff404` | `TIME_HI` | 31:0 | r/w | system time, high word -| `0xfffff408` | `TIMECMP_LO` | 31:0 | r/w | time compare, low word -| `0xfffff40c` | `TIMECMP_HI` | 31:0 | r/w | time compare, high word +| `0xfff40000` | `TIME_LO` | 31:0 | r/w | system time, low word +| `0xfff40004` | `TIME_HI` | 31:0 | r/w | system time, high word +| `0xfff40008` | `TIMECMP_LO` | 31:0 | r/w | time compare, low word +| `0xfff4000c` | `TIMECMP_HI` | 31:0 | r/w | time compare, high word |======================= diff --git a/docs/datasheet/soc_neoled.adoc b/docs/datasheet/soc_neoled.adoc index 037055c09..353d39a08 100644 --- a/docs/datasheet/soc_neoled.adoc +++ b/docs/datasheet/soc_neoled.adoc @@ -186,7 +186,7 @@ Once the NEOLED interrupt has fired it remains pending until the actual cause of [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.13+<| `0xfffffd00` .13+<| `CTRL` <|`0` `NEOLED_CTRL_EN` ^| r/w <| NEOLED enable +.13+<| `0xfffd0000` .13+<| `CTRL` <|`0` `NEOLED_CTRL_EN` ^| r/w <| NEOLED enable <|`1` `NEOLED_CTRL_MODE` ^| r/w <| data transfer size; `0`=24-bit; `1`=32-bit <|`2` `NEOLED_CTRL_STROBE` ^| r/w <| `0`=send normal color data; `1`=send RESET command on data write access <|`5:3` `NEOLED_CTRL_PRSC2 : NEOLED_CTRL_PRSC0` ^| r/w <| 3-bit clock prescaler, bit 0 @@ -199,5 +199,5 @@ Once the NEOLED interrupt has fired it remains pending until the actual cause of <|`29` `NEOLED_CTRL_TX_HALF` ^| r/- <| TX FIFO is _at least_ half full <|`30` `NEOLED_CTRL_TX_FULL` ^| r/- <| TX FIFO is full <|`31` `NEOLED_CTRL_TX_BUSY` ^| r/- <| TX serial engine is busy when set -| `0xfffffd04` | `DATA` <|`31:0` / `23:0` ^| -/w <| TX data (32- or 24-bit, depending on _NEOLED_CTRL_MODE_ bit) +| `0xfffd0004` | `DATA` <|`31:0` / `23:0` ^| -/w <| TX data (32- or 24-bit, depending on _NEOLED_CTRL_MODE_ bit) |======================= diff --git a/docs/datasheet/soc_onewire.adoc b/docs/datasheet/soc_onewire.adoc index 4d2bc5f51..e5f989c6f 100644 --- a/docs/datasheet/soc_onewire.adoc +++ b/docs/datasheet/soc_onewire.adoc @@ -183,7 +183,7 @@ controller is idle (again) and the data/command FIFO is empty, the interrupt bec [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.11+<| `0xfffff200` .11+<| `CTRL` <|`0` `ONEWIRE_CTRL_EN` ^| r/w <| ONEWIRE enable, reset if cleared +.11+<| `0xfff20000` .11+<| `CTRL` <|`0` `ONEWIRE_CTRL_EN` ^| r/w <| ONEWIRE enable, reset if cleared <|`1` `ONEWIRE_CTRL_CLEAR` ^| -/w <| clear RXT FIFO, auto-clears <|`3:2` `ONEWIRE_CTRL_PRSC1 : ONEWIRE_CTRL_PRSC0` ^| r/w <| 2-bit clock prescaler select <|`11:4` `ONEWIRE_CTRL_CLKDIV7 : ONEWIRE_CTRL_CLKDIV0` ^| r/w <| 8-bit clock divider value @@ -194,7 +194,7 @@ controller is idle (again) and the data/command FIFO is empty, the interrupt bec <|`29` `ONEWIRE_CTRL_RX_AVAIL` ^| r/- <| RX FIFO data available <|`30` `ONEWIRE_CTRL_SENSE` ^| r/- <| current state of the bus line <|`31` `ONEWIRE_CTRL_BUSY` ^| r/- <| operation in progress when set or TX FIFO not empty -.4+<| `0xfffff204` .4+<| `DCMD` <|`7:0` `ONEWIRE_DCMD_DATA_MSB : ONEWIRE_DCMD_DATA_LSB` ^| r/w <| receive/transmit data +.4+<| `0xfff20004` .4+<| `DCMD` <|`7:0` `ONEWIRE_DCMD_DATA_MSB : ONEWIRE_DCMD_DATA_LSB` ^| r/w <| receive/transmit data <|`9:8` `ONEWIRE_DCMD_CMD_HI : ONEWIRE_DCMD_CMD_LO` ^| -/w <| operation command LSBs <|`10` `ONEWIRE_DCMD_PRESENCE` ^| -/w <| bus presence detected <|`31:11` - ^| r/- <| _reserved_, read as zero diff --git a/docs/datasheet/soc_pwm.adoc b/docs/datasheet/soc_pwm.adoc index 09d9ac687..9ecb9199d 100644 --- a/docs/datasheet/soc_pwm.adoc +++ b/docs/datasheet/soc_pwm.adoc @@ -60,18 +60,18 @@ _f~PWM~_[Hz] = _f~main~_[Hz] / (2^8^ * `clock_prescaler` * (1 + `PWM_CFG_CDIV`)) **Register Map** -.PWM register map (`struct neorv32_pwm_t`) +.PWM register map (`struct NEORV32_PWM`) [cols="<4,<2,<6,^2,<8"] [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.5+<| `0xfffff000` .5+<| `CHANNEL_CFG[0]` <|`31` - `PWM_CFG_EN` ^| r/w <| Channel 0: channel enabled when set +.5+<| `0xfff00000` .5+<| `CHANNEL_CFG[0]` <|`31` - `PWM_CFG_EN` ^| r/w <| Channel 0: channel enabled when set <|`30:28` - `PWM_CFG_PRSC_MSB:PWM_CFG_PRSC_LSB` ^| r/w <| Channel 0: 3-bit clock prescaler select <|`27:18` ^| r/- <| Channel 0: _reserved_, hardwired to zero <|`17:8` - `PWM_CFG_CDIV_MSB:PWM_CFG_CDIV_LSB` ^| r/w <| Channel 0: 10-bit clock divider <|`7:0` - `PWM_CFG_DUTY_MSB:PWM_CFG_DUTY_LSB` ^| r/w <| Channel 0: 8-bit duty cycle -| `0xfffff004` ... `0xfffff038` | `CHANNEL_CFG[1]` ... `CHANNEL_CFG[14]` | ... | r/w <| Channels 1 to 14 -.5+<| `0xfffff03C` .5+<| `CHANNEL_CFG[15]` <|`31` - `PWM_CFG_EN` ^| r/w <| Channel 15: channel enabled when set +| `0xfff00004` ... `0xfff00038` | `CHANNEL_CFG[1]` ... `CHANNEL_CFG[14]` | ... | r/w <| Channels 1 to 14 +.5+<| `0xfff0003C` .5+<| `CHANNEL_CFG[15]` <|`31` - `PWM_CFG_EN` ^| r/w <| Channel 15: channel enabled when set <|`30:28` - `PWM_CFG_PRSC_MSB:PWM_CFG_PRSC_LSB` ^| r/w <| Channel 15: 3-bit clock prescaler select <|`27:18` ^| r/- <| Channel 15: _reserved_, hardwired to zero <|`17:8` - `PWM_CFG_CDIV_MSB:PWM_CFG_CDIV_LSB` ^| r/w <| Channel 15: 10-bit clock divider diff --git a/docs/datasheet/soc_sdi.adoc b/docs/datasheet/soc_sdi.adoc index cfdac40e8..fe4c4669f 100644 --- a/docs/datasheet/soc_sdi.adoc +++ b/docs/datasheet/soc_sdi.adoc @@ -87,7 +87,7 @@ example if just the `SDI_CTRL_IRQ_RX_AVAIL` bit is set, the interrupt will keep [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.18+<| `0xfffff700` .18+<| `CTRL` <|`0` `SDI_CTRL_EN` ^| r/w <| SDI module enable +.18+<| `0xfff70000` .18+<| `CTRL` <|`0` `SDI_CTRL_EN` ^| r/w <| SDI module enable <|`3:1` _reserved_ ^| r/- <| reserved, read as zero <|`7:4` `SDI_CTRL_FIFO_MSB : SDI_CTRL_FIFO_LSB` ^| r/- <| FIFO depth; log2(_IO_SDI_FIFO_) <|`14:8` _reserved_ ^| r/- <| reserved, read as zero @@ -105,6 +105,6 @@ example if just the `SDI_CTRL_IRQ_RX_AVAIL` bit is set, the interrupt will keep <|`28` `SDI_CTRL_TX_FULL` ^| r/- <| TX FIFO full <|`30:29` _reserved_ ^| r/- <| reserved, read as zero <|`31` `SDI_CTRL_CS_ACTIVE` ^| r/- <| Chip-select is active when set -.2+<| `0xfffff704` .2+<| `DATA` <|`7:0` ^| r/w <| receive/transmit data (FIFO) +.2+<| `0xfff70004` .2+<| `DATA` <|`7:0` ^| r/w <| receive/transmit data (FIFO) <|`31:8` _reserved_ ^| r/- <| reserved, read as zero |======================= diff --git a/docs/datasheet/soc_slink.adoc b/docs/datasheet/soc_slink.adoc index 3e4e7c42e..c33d1755e 100644 --- a/docs/datasheet/soc_slink.adoc +++ b/docs/datasheet/soc_slink.adoc @@ -116,31 +116,31 @@ interrupt-causing condition is resolved (e.g. by reading from the RX FIFO). [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s) | R/W | Function -.22+<| `0xffffec00` .22+<| `NEORV32_SLINK.CTRL` <| `0` `SLINK_CTRL_EN` ^| r/w <| SLINK global enable - <| `1` `SLINK_CTRL_RX_CLR` ^| -/w <| Clear RX FIFO when set (bit auto-clears) - <| `2` `SLINK_CTRL_TX_CLR` ^| -/w <| Clear TX FIFO when set (bit auto-clears) - <| `3` _reserved_ ^| r/- <| _reserved_, read as zero - <| `4` `SLINK_CTRL_RX_LAST` ^| r/- <| Last word read from `RX_DATA` is marked as "end of stream" - <| `7:5` _reserved_ ^| r/- <| _reserved_, read as zero - <| `8` `SLINK_CTRL_RX_EMPTY` ^| r/- <| RX FIFO empty - <| `9` `SLINK_CTRL_RX_HALF` ^| r/- <| RX FIFO at least half full - <| `10` `SLINK_CTRL_RX_FULL` ^| r/- <| RX FIFO full - <| `11` `SLINK_CTRL_TX_EMPTY` ^| r/- <| TX FIFO empty - <| `12` `SLINK_CTRL_TX_HALF` ^| r/- <| TX FIFO at least half full - <| `13` `SLINK_CTRL_TX_FULL` ^| r/- <| TX FIFO full - <| `15:14` _reserved_ ^| r/- <| _reserved_, read as zero - <| `16` `SLINK_CTRL_IRQ_RX_NEMPTY` ^| r/w <| RX interrupt if RX FIFO not empty - <| `17` `SLINK_CTRL_IRQ_RX_HALF` ^| r/w <| RX interrupt if RX FIFO at least half full - <| `18` `SLINK_CTRL_IRQ_RX_FULL` ^| r/w <| RX interrupt if RX FIFO full - <| `19` `SLINK_CTRL_IRQ_TX_EMPTY` ^| r/w <| TX interrupt if TX FIFO empty - <| `20` `SLINK_CTRL_IRQ_TX_NHALF` ^| r/w <| TX interrupt if TX FIFO not at least half full - <| `21` `SLINK_CTRL_IRQ_TX_NFULL` ^| r/w <| TX interrupt if TX FIFO not full - <| `23:22` _reserved_ ^| r/- <| _reserved_, read as zero - <| `27:24` `SLINK_CTRL_RX_FIFO_MSB : SLINK_CTRL_RX_FIFO_LSB` ^| r/- <| log2(RX FIFO size) - <| `31:28` `SLINK_CTRL_TX_FIFO_MSB : SLINK_CTRL_TX_FIFO_LSB` ^| r/- <| log2(TX FIFO size) -.3+<| `0xffffec04` .3+<| `NEORV32_SLINK.ROUTE` <| `3:0` | r/w | TX destination routing information (`slink_tx_dst_o`) - <| `7:4` | r/- | RX source routing information (`slink_rx_src_i`) - <| `31:8` | -/- | _reserved_ -| `0xffffec08` | `NEORV32_SLINK.DATA` | `31:0` | r/w | Write data to TX FIFO; read data from RX FIFO -| `0xffffec0c` | `NEORV32_SLINK.DATA_LAST` | `31:0` | r/w | Write data to TX FIFO (and also set "last" signal); read data from RX FIFO +.22+<| `0xffec0000` .22+<| `CTRL` <| `0` `SLINK_CTRL_EN` ^| r/w <| SLINK global enable + <| `1` `SLINK_CTRL_RX_CLR` ^| -/w <| Clear RX FIFO when set (bit auto-clears) + <| `2` `SLINK_CTRL_TX_CLR` ^| -/w <| Clear TX FIFO when set (bit auto-clears) + <| `3` _reserved_ ^| r/- <| _reserved_, read as zero + <| `4` `SLINK_CTRL_RX_LAST` ^| r/- <| Last word read from `RX_DATA` is marked as "end of stream" + <| `7:5` _reserved_ ^| r/- <| _reserved_, read as zero + <| `8` `SLINK_CTRL_RX_EMPTY` ^| r/- <| RX FIFO empty + <| `9` `SLINK_CTRL_RX_HALF` ^| r/- <| RX FIFO at least half full + <| `10` `SLINK_CTRL_RX_FULL` ^| r/- <| RX FIFO full + <| `11` `SLINK_CTRL_TX_EMPTY` ^| r/- <| TX FIFO empty + <| `12` `SLINK_CTRL_TX_HALF` ^| r/- <| TX FIFO at least half full + <| `13` `SLINK_CTRL_TX_FULL` ^| r/- <| TX FIFO full + <| `15:14` _reserved_ ^| r/- <| _reserved_, read as zero + <| `16` `SLINK_CTRL_IRQ_RX_NEMPTY` ^| r/w <| RX interrupt if RX FIFO not empty + <| `17` `SLINK_CTRL_IRQ_RX_HALF` ^| r/w <| RX interrupt if RX FIFO at least half full + <| `18` `SLINK_CTRL_IRQ_RX_FULL` ^| r/w <| RX interrupt if RX FIFO full + <| `19` `SLINK_CTRL_IRQ_TX_EMPTY` ^| r/w <| TX interrupt if TX FIFO empty + <| `20` `SLINK_CTRL_IRQ_TX_NHALF` ^| r/w <| TX interrupt if TX FIFO not at least half full + <| `21` `SLINK_CTRL_IRQ_TX_NFULL` ^| r/w <| TX interrupt if TX FIFO not full + <| `23:22` _reserved_ ^| r/- <| _reserved_, read as zero + <| `27:24` `SLINK_CTRL_RX_FIFO_MSB : SLINK_CTRL_RX_FIFO_LSB` ^| r/- <| log2(RX FIFO size) + <| `31:28` `SLINK_CTRL_TX_FIFO_MSB : SLINK_CTRL_TX_FIFO_LSB` ^| r/- <| log2(TX FIFO size) +.3+<| `0xffec0004` .3+<| `ROUTE` <| `3:0` | r/w | TX destination routing information (`slink_tx_dst_o`) + <| `7:4` | r/- | RX source routing information (`slink_rx_src_i`) + <| `31:8` | -/- | _reserved_ +| `0xffec0008` | `DATA` | `31:0` | r/w | Write data to TX FIFO; read data from RX FIFO +| `0xffec000c` | `DATA_LAST` | `31:0` | r/w | Write data to TX FIFO (and also set "last" signal); read data from RX FIFO |======================= diff --git a/docs/datasheet/soc_spi.adoc b/docs/datasheet/soc_spi.adoc index 1c45f6c9f..f20a20a4d 100644 --- a/docs/datasheet/soc_spi.adoc +++ b/docs/datasheet/soc_spi.adoc @@ -126,7 +126,7 @@ example if just the `SPI_CTRL_IRQ_RX_AVAIL` bit is set, the interrupt will keep [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.19+<| `0xfffff800` .19+<| `CTRL` <|`0` `SPI_CTRL_EN` ^| r/w <| SPI module enable +.19+<| `0xfff80000` .19+<| `CTRL` <|`0` `SPI_CTRL_EN` ^| r/w <| SPI module enable <|`1` `SPI_CTRL_CPHA` ^| r/w <| clock phase <|`2` `SPI_CTRL_CPOL` ^| r/w <| clock polarity <|`5:3` `SPI_CTRL_PRSC2 : SPI_CTRL_PRSC0` ^| r/w <| 3-bit clock prescaler select @@ -145,7 +145,7 @@ example if just the `SPI_CTRL_IRQ_RX_AVAIL` bit is set, the interrupt will keep <|`30:28` _reserved_ ^| r/- <| reserved, read as zero <|`30` `SPI_CS_ACTIVE` ^| r/- <| Set if any chip-select line is active <|`31` `SPI_CTRL_BUSY` ^| r/- <| SPI module busy when set (serial engine operation in progress and TX FIFO not empty yet) -.3+<| `0xfffff804` .3+<| `DATA` <|`7:0` `SPI_DATA_MSB : SPI_DATA_LSB` ^| r/w <| receive/transmit data (FIFO) +.3+<| `0xfff80004` .3+<| `DATA` <|`7:0` `SPI_DATA_MSB : SPI_DATA_LSB` ^| r/w <| receive/transmit data (FIFO) <|`30:8` _reserved_ ^| r/- <| reserved, read as zero <|`31` `SPI_DATA_CMD` ^| -/w <| data (`0`) / chip-select-command (`1`) select |======================= diff --git a/docs/datasheet/soc_sysinfo.adoc b/docs/datasheet/soc_sysinfo.adoc index f104b18e5..e4b0e455a 100644 --- a/docs/datasheet/soc_sysinfo.adoc +++ b/docs/datasheet/soc_sysinfo.adoc @@ -42,10 +42,10 @@ to take into account a dynamic frequency scaling of the processor. [options="header",grid="all"] |======================= | Address | Name [C] | R/W | Description -| `0xfffffe00` | `CLK` | r/w | clock frequency in Hz (initialized from top's `CLOCK_FREQUENCY` generic) -| `0xfffffe04` | `MEM[4]` | r/- | internal memory configuration (see <<_sysinfo_memory_configuration>>) -| `0xfffffe08` | `SOC` | r/- | specific SoC configuration (see <<_sysinfo_soc_configuration>>) -| `0xfffffe0c` | `CACHE` | r/- | cache configuration information (see <<_sysinfo_cache_configuration>>) +| `0xfffe0000` | `CLK` | r/w | clock frequency in Hz (initialized from top's `CLOCK_FREQUENCY` generic) +| `0xfffe0004` | `MEM[4]` | r/- | internal memory configuration (see <<_sysinfo_memory_configuration>>) +| `0xfffe0008` | `SOC` | r/- | specific SoC configuration (see <<_sysinfo_soc_configuration>>) +| `0xfffe000c` | `CACHE` | r/- | cache configuration information (see <<_sysinfo_cache_configuration>>) |======================= diff --git a/docs/datasheet/soc_trng.adoc b/docs/datasheet/soc_trng.adoc index bb1ef47d9..83aadbf73 100644 --- a/docs/datasheet/soc_trng.adoc +++ b/docs/datasheet/soc_trng.adoc @@ -63,11 +63,11 @@ mode is active. [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.5+<| `0xfffffa00` .5+<| `CTRL` <|`0` `TRNG_CTRL_EN` ^| r/w <| TRNG enable +.5+<| `0xfffa0000` .5+<| `CTRL` <|`0` `TRNG_CTRL_EN` ^| r/w <| TRNG enable <|`1` `TRNG_CTRL_FIFO_CLR` ^| -/w <| flush random data FIFO when set; flag auto-clears <|`5:2` `TRNG_CTRL_FIFO_MSB : TRNG_CTRL_FIFO_LSB` ^| r/- <| FIFO depth, log2(`IO_TRNG_FIFO`) <|`6` `TRNG_CTRL_SIM_MODE` ^| r/- <| simulation mode (PRNG!) <|`7` `TRNG_CTRL_AVAIL` ^| r/- <| random data available when set -.2+<| `0xfffffa04` .2+<| `DATA` <|`7:0` `TRNG_DATA_MSB : TRNG_DATA_LSB` ^| r/- <| random data byte +.2+<| `0xfffa0004` .2+<| `DATA` <|`7:0` `TRNG_DATA_MSB : TRNG_DATA_LSB` ^| r/- <| random data byte <|`31:8` _reserved_ ^| r/- <| reserved, read as zero |======================= diff --git a/docs/datasheet/soc_twd.adoc b/docs/datasheet/soc_twd.adoc index dd74f858f..1e0e65bea 100644 --- a/docs/datasheet/soc_twd.adoc +++ b/docs/datasheet/soc_twd.adoc @@ -144,7 +144,7 @@ twd_scl_i <= std_ulogic(scl_io); -- sense [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.18+<| `0xffffea00` .18+<| `CTRL` <|`0` `TWD_CTRL_EN` ^| r/w <| TWD enable, reset if cleared +.18+<| `0xffea0000` .18+<| `CTRL` <|`0` `TWD_CTRL_EN` ^| r/w <| TWD enable, reset if cleared <|`1` `TWD_CTRL_CLR_RX` ^| -/w <| Clear RX FIFO, flag auto-clears <|`2` `TWD_CTRL_CLR_TX` ^| -/w <| Clear TX FIFO, flag auto-clears <|`3` `TWD_CTRL_FSEL` ^| r/w <| Bus sample clock / filter select @@ -162,6 +162,6 @@ twd_scl_i <= std_ulogic(scl_io); -- sense <|`29` `TWD_CTRL_SENSE_SCL` ^| r/- <| current state of the SCL bus line <|`30` `TWD_CTRL_SENSE_SDA` ^| r/- <| current state of the SDA bus line <|`31` `TWD_CTRL_BUSY` ^| r/- <| bus engine is busy (transaction in progress) -.2+<| `0xffffea04` .2+<| `DATA` <|`7:0` `TWD_DATA_MSB : TWD_DATA_LSB` ^| r/w <| RX/TX data FIFO access +.2+<| `0xffea0004` .2+<| `DATA` <|`7:0` `TWD_DATA_MSB : TWD_DATA_LSB` ^| r/w <| RX/TX data FIFO access <|`31:8` - ^| r/- <| _reserved_, read as zero |======================= diff --git a/docs/datasheet/soc_twi.adoc b/docs/datasheet/soc_twi.adoc index d1e4b38cd..8fa7aa93f 100644 --- a/docs/datasheet/soc_twi.adoc +++ b/docs/datasheet/soc_twi.adoc @@ -136,7 +136,7 @@ TWI module is enabled (`TWI_CTRL_EN` = `1`) and the TX FIFO is empty and the TWI [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.12+<| `0xfffff900` .12+<| `CTRL` <|`0` `TWI_CTRL_EN` ^| r/w <| TWI enable, reset if cleared +.12+<| `0xfff90000` .12+<| `CTRL` <|`0` `TWI_CTRL_EN` ^| r/w <| TWI enable, reset if cleared <|`3:1` `TWI_CTRL_PRSC2 : TWI_CTRL_PRSC0` ^| r/w <| 3-bit clock prescaler select <|`7:4` `TWI_CTRL_CDIV3 : TWI_CTRL_CDIV0` ^| r/w <| 4-bit clock divider <|`8` `TWI_CTRL_CLKSTR` ^| r/w <| Enable (allow) clock stretching @@ -148,7 +148,7 @@ TWI module is enabled (`TWI_CTRL_EN` = `1`) and the TX FIFO is empty and the TWI <|`29` `TWI_CTRL_TX_FULL` ^| r/- <| set if the TWI bus is claimed by any controller <|`30` `TWI_CTRL_RX_AVAIL` ^| r/- <| RX FIFO data available <|`31` `TWI_CTRL_BUSY` ^| r/- <| TWI bus engine busy or TX FIFO not empty -.3+<| `0xfffff904` .3+<| `DCMD` <|`7:0` `TWI_DCMD_MSB : TWI_DCMD_LSB` ^| r/w <| RX/TX data byte +.3+<| `0xfff90004` .3+<| `DCMD` <|`7:0` `TWI_DCMD_MSB : TWI_DCMD_LSB` ^| r/w <| RX/TX data byte <|`8` `TWI_DCMD_ACK` ^| r/w <| write: ACK bit sent by controller; read: `1` = device NACK, `0` = device ACK <|`10:9` `TWI_DCMD_CMD_HI : TWI_DCMD_CMD_LO` ^| r/w <| TWI operation (`00` = NOP, `01` = START conditions, `10` = STOP condition, `11` = data transmission) |======================= diff --git a/docs/datasheet/soc_uart.adoc b/docs/datasheet/soc_uart.adoc index 01d62d0df..b67abbb74 100644 --- a/docs/datasheet/soc_uart.adoc +++ b/docs/datasheet/soc_uart.adoc @@ -128,7 +128,7 @@ Both file are created in the simulation's home folder. [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.20+<| `0xfffff500` .20+<| `CTRL` <|`0` `UART_CTRL_EN` ^| r/w <| UART enable +.21+<| `0xfff50000` .21+<| `CTRL` <|`0` `UART_CTRL_EN` ^| r/w <| UART enable <|`1` `UART_CTRL_SIM_MODE` ^| r/w <| enable **simulation mode** <|`2` `UART_CTRL_HWFC_EN` ^| r/w <| enable RTS/CTS hardware flow-control <|`5:3` `UART_CTRL_PRSC2 : UART_CTRL_PRSC0` ^| r/w <| Baud rate clock prescaler select @@ -149,7 +149,7 @@ Both file are created in the simulation's home folder. <|`29` `UART_CTRL_TX_CLR` ^| r/w <| Clear TX FIFO, flag auto-clears <|`30` `UART_CTRL_RX_OVER` ^| r/- <| RX FIFO overflow; cleared by disabling the module <|`31` `UART_CTRL_TX_BUSY` ^| r/- <| TX busy or TX FIFO not empty -.4+<| `0xfffff504` .4+<| `DATA` <|`7:0` `UART_DATA_RTX_MSB : UART_DATA_RTX_LSB` ^| r/w <| receive/transmit data +.4+<| `0xfff50004` .4+<| `DATA` <|`7:0` `UART_DATA_RTX_MSB : UART_DATA_RTX_LSB` ^| r/w <| receive/transmit data <|`11:8` `UART_DATA_RX_FIFO_SIZE_MSB : UART_DATA_RX_FIFO_SIZE_LSB` ^| r/- <| log2(RX FIFO size) <|`15:12` `UART_DATA_TX_FIFO_SIZE_MSB : UART_DATA_TX_FIFO_SIZE_LSB` ^| r/- <| log2(TX FIFO size) <|`31:16` ^| r/- <| _reserved_, read as zero @@ -202,6 +202,6 @@ written to UART1-specific file `neorv32.uart1_sim_mode.out`. This data is also p [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -| `0xfffff600` | `CTRL` | ... | ... | Same as UART0 -| `0xfffff604` | `DATA` | ... | ... | Same as UART0 +| `0xfff60000` | `CTRL` | ... | ... | Same as UART0 +| `0xfff60004` | `DATA` | ... | ... | Same as UART0 |======================= diff --git a/docs/datasheet/soc_wdt.adoc b/docs/datasheet/soc_wdt.adoc index 0b98622cb..5e8a3d3c7 100644 --- a/docs/datasheet/soc_wdt.adoc +++ b/docs/datasheet/soc_wdt.adoc @@ -84,7 +84,7 @@ The cause of the last system hardware reset can be determined via the `WDT_CTRL_ [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Reset value | Writable if locked | Function -.8+<| `0xfffffb00` .8+<| `CTRL` <|`0` `WDT_CTRL_EN` ^| r/w ^| `0` ^| no <| watchdog enable +.8+<| `0xfffb0000` .8+<| `CTRL` <|`0` `WDT_CTRL_EN` ^| r/w ^| `0` ^| no <| watchdog enable <|`1` `WDT_CTRL_LOCK` ^| r/w ^| `0` ^| no <| lock configuration when set, clears only on system reset, can only be set if enable bit is set already <|`2` `WDT_CTRL_DBEN` ^| r/w ^| `0` ^| no <| set to allow WDT to continue operation even when CPU is in debug mode <|`3` `WDT_CTRL_SEN` ^| r/w ^| `0` ^| no <| set to allow WDT to continue operation even when CPU is in sleep mode @@ -92,5 +92,5 @@ The cause of the last system hardware reset can be determined via the `WDT_CTRL_ <|`6:5` `WDT_CTRL_RCAUSE_HI : WDT_CTRL_RCAUSE_LO` ^| r/- ^| `0` ^| - <| cause of last system reset; 0=external reset, 1=ocd-reset, 2=watchdog reset <|`7` - ^| r/- ^| - ^| - <| _reserved_, reads as zero <|`31:8` `WDT_CTRL_TIMEOUT_MSB : WDT_CTRL_TIMEOUT_LSB` ^| r/w ^| 0 ^| no <| 24-bit watchdog timeout value -| `0xfffffb04` | `RESET` |`31:0` | -/w | - | yes | Write _PASSWORD_ to reset WDT timeout counter +| `0xfffb0004` | `RESET` |`31:0` | -/w | - | yes | Write _PASSWORD_ to reset WDT timeout counter |======================= diff --git a/docs/datasheet/soc_xip.adoc b/docs/datasheet/soc_xip.adoc index ec9caf4ad..6d70e7e1d 100644 --- a/docs/datasheet/soc_xip.adoc +++ b/docs/datasheet/soc_xip.adoc @@ -193,7 +193,7 @@ The XIP cache is cleared when the XIP module is disabled (`XIP_CTRL_EN = 0`), wh [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s), Name [C] | R/W | Function -.14+<| `0xffffff40` .14+<| `CTRL` <|`0` `XIP_CTRL_EN` ^| r/w <| XIP module enable +.14+<| `0xffff4000` .14+<| `CTRL` <|`0` `XIP_CTRL_EN` ^| r/w <| XIP module enable <|`3:1` `XIP_CTRL_PRSC2 : XIP_CTRL_PRSC0` ^| r/w <| 3-bit SPI clock prescaler select <|`4` `XIP_CTRL_CPOL` ^| r/w <| SPI clock polarity <|`5` `XIP_CTRL_CPHA` ^| r/w <| SPI clock phase @@ -207,7 +207,7 @@ The XIP cache is cleared when the XIP module is disabled (`XIP_CTRL_EN = 0`), wh <|`29:27` - ^| r/- <| _reserved_, read as zero <|`30` `XIP_CTRL_PHY_BUSY` ^| r/- <| SPI PHY busy when set <|`31` `XIP_CTRL_XIP_BUSY` ^| r/- <| XIP access in progress when set -| `0xffffff44` | _reserved_ |`31:0` | r/- | _reserved_, read as zero -| `0xffffff48` | `DATA_LO` |`31:0` | r/w | Direct SPI access - data register low -| `0xffffff4C` | `DATA_HI` |`31:0` | -/w | Direct SPI access - data register high; write access triggers SPI transfer +| `0xffff4004` | _reserved_ |`31:0` | r/- | _reserved_, read as zero +| `0xffff4008` | `DATA_LO` |`31:0` | r/w | Direct SPI access - data register low +| `0xffff400C` | `DATA_HI` |`31:0` | -/w | Direct SPI access - data register high; write access triggers SPI transfer |======================= diff --git a/docs/datasheet/soc_xirq.adoc b/docs/datasheet/soc_xirq.adoc index 5cb97b853..844652d46 100644 --- a/docs/datasheet/soc_xirq.adoc +++ b/docs/datasheet/soc_xirq.adoc @@ -74,10 +74,10 @@ can issue a new CPU interrupt). [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s) | R/W | Description -| `0xfffff300` | `EIE` | `31:0` | r/w | External interrupt enable register (one bit per channel, LSB-aligned) -.3+^| `0xfffff304` .3+<| `ESC` ^| `31` ^| r/c <| XIRQ interrupt when set; write any value to this register to acknowledge the current XIRQ interrupt +| `0xfff30000` | `EIE` | `31:0` | r/w | External interrupt enable register (one bit per channel, LSB-aligned) +.3+^| `0xfff30004` .3+<| `ESC` ^| `31` ^| r/c <| XIRQ interrupt when set; write any value to this register to acknowledge the current XIRQ interrupt ^| `30:5` ^| r/- <| _reserved_, read as zero ^| `4:0` ^| r/c <| Interrupt source ID (0..31) of firing IRQ (prioritized!) -| `0xfffff308` | `TTYP` | `31:0` | r/w | Trigger type select (`0` = level trigger, `1` = edge trigger); each bit corresponds to the according channel number -| `0xfffff30c` | `TPOL` | `31:0` | r/w | Trigger polarity select (`0` = low-level/falling-edge, `1` = high-level/rising-edge); each bit corresponds to the according channel number +| `0xfff30008` | `TTYP` | `31:0` | r/w | Trigger type select (`0` = level trigger, `1` = edge trigger); each bit corresponds to the according channel number +| `0xfff3000c` | `TPOL` | `31:0` | r/w | Trigger polarity select (`0` = low-level/falling-edge, `1` = high-level/rising-edge); each bit corresponds to the according channel number |======================= From 7e20ae82367cc62e29aa1c6f38b8b86c9c036acf Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:03:30 +0100 Subject: [PATCH 09/20] [figures] update bus architecture --- docs/figures/neorv32_bus.png | Bin 66343 -> 148772 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/docs/figures/neorv32_bus.png b/docs/figures/neorv32_bus.png index 806e8b660adea299505902793c5f42a08ac8e21b..d5e30cab204006e7b4717aa9e1b093d9bdfa15d7 100644 GIT binary patch literal 148772 zcmY(qbzD?m)IK_N!$>!XNJ#h4C0zp2-5@bTcb9-L1_IK8q|)6DB1lROJp#heNZ0T9 zec$`L_x>@TnG>_m+WYLi_FB*Ltb`ZZDn$6S_#hC7NKN&*9tecq4t#uZv4Jy^7Efe> zFEnpG6$Mb`82t`#faxT!B@Y7CzzJ?`uz+Jcn5v042qf6`?}HZ96mbsxA>QSsv5&Eq zri2~To!7=5YU{uo;0^=s27#nx17J3Gt`0uTwhm4%9@6ZGZC&ilF80#wMj~4LS};Wi zXBX8VF9-b~?U!~zu6E+~?6NXM_)-B9Knv~;J~qq&?rt955&_aI|1~TD{Qh^Ek3~kx z%id8!@452--T@p*vpDw}Iyl-gaItFdrAF2lKxMZET^wKGH0{zAp9>jy8@Ww)XZSJhnDM_B;Xt_BK2= z_Cf+Y_CiATVj=<%K}P{wmj8XckBj5~`+N`Y{~ZQkK=}S$;S=EH|7Y^Q-x4}rE)IYd z{~jqLAobt%|KB+&zJG@Ne+-lPpEE#TfnWc3Wd04){~cWi4`4|BfwALG%^(1Q?n%|2 z%fAe;+{^LLAUBvDTs156&Ys-F94%wn11;6lYfO!_BbX`W5QO>i!0iASlK!SW0=C-^`dlMuUbK*(k4 z3vt5pf;ZcNHT7?LtZ!Yup#9=XtZk@|=&`PMQ{5rPj-C{x7IM^NYC?n(75!zxRw79` zA{{ygIlCBOM<26WQ%lJGBp>(o<}BhHL>{|*VAs+9IBUmG%|pT#K=X!Dm4lp?M{O!V z8y2WfLnif7wH;ewbgG;X8>!RFA81d616e_XPsdc2$-c^zQP53=tD^*|-=txYwG%26 zJR!%|ItvQzqa|f~X6_5gA5s7NZJw~}2}Y#Yr^xi4%cSqL=#9ng#_eTKwklEBxR$S3 zzd8thdfd>^FrGH+1Q>zypApXu3}yoIR+-A+E|BI^!EC`J$>t@_?lrU@0yQJnw;#cv zY%~}-s;RL@W0fiK=O;fH{(`w^){Pk}=~ZhzUUZK$4S&ywbv<|x&LsPSd;p7PS&bOg zPVK~S8T-_SsI&fAbkF72cV4O`%Nf^Kc&fDf`A&A;cfuG6 zbEacUu}jea54tY7JnnF@UK!v0F+7}`kH?AM?`g)G1HUP#mYZqtcq2WZwo>}U;^k#w z#N$-Me{YY7GpQ<_s2`OC$JF^Sg4+|3(;^abxgLKbL7zh7(bT5mVxsZfV5YvjT#Vj- z$rGNMCP3YWuX!X$%>z=>9b;)frJ$ONK*7Ush&gdGMvB-57A_|4lNHSyPO($4zn_Ua+Jk(WTXeg-9?wO~s zj`}wq-)lo^I0EzhJk4Nzt1FS+D8rZ7z%;FWB>Zi02@M_+$^t1Z^O0CarAQvk8w1Td z<%Q15PuG8pVpeVKR8)i|v4C-zDU&Qh_G*S2GmczqxzjOlpCz8?yDa3za*u?8Y;w1i z`uJHA3xtCYAKdIswm6E!ZbCW_jstGrsj{AEx#Vn>R#douby*Bh=ltnd_oF|745g0R zdsS`q61xyfj?)2ci2991Y5^Y~g_39dszdJfQ+3X!uc4cqMa(gK!VN7298NKP>rVD2 z_m!=!L1DhWXYB7(?SF*dpXTKj`98?LVUTR(De{RNLYh$G$0~nJdocOay0WV1!54r5t)}cxqZV5K-p9r)6YSh8u35Hmg@A7yf|?3mhoZ zK`m5j5?ue(p|%gz^pVdh`$Y8PYq-4an8D3adWp`$*M@?hqamoF2702BGMaXP_~5cJ zJOHeDH(*$m-uGRMdTjP_J!-#}C(Iky!2q?^QwZ#}L#6J$)woniaj1WhMzHi`AL<3%eZcIr%IujfMN7d5 z@_P@P0EuDef#ncmq+qdc+PK!}8KQ-ZTw!A(yLsDq5)G8Fei@IwE;vqgvYGc*@$qfE z02sthzc-*3et}WGP|6b!rMcL>JU;hX#=~09ALl;MP<-uobzms*co)?`iTAW|lUg(f zcyAh!@YyMKJ>pJUZi(ZJdu^b>OlNjk)~T^-AEDJ-7^VTT01&fl$`WC_Z`(Uut? z=gfS!?)|-S-}s(A=uW$ zf1eW@a}D+#~zAkHvJ=A2wl-AGHg6-034C>>t%T7!#g54%bSJZhAFn zv3(GF_3Bj^C_=YTxdlD4dxm}}N0rl^fEnM~QSejy%{c<91L|(}M7Cn1N4klfCqnK~Ml$ zMiKcU9Q)$(EK+cw`-J^_OxMitqZ~SB9RwjLz)9UGJ46qh-~FjCycduF`8eQh&6(}qV*_dnq(3JjCw zSr|GpH57_0vvnO#?06$q2Lu_v^uhvfr7VLQ(6)^#(LlFIe!i8kR+7F^@)fxrL06V3ZuU2^r=CW&QRjk4<$p(N zm4^BV)h?d1=>Nt$U|L2qvYf#_>{yKW@X%3SuO8{K|5TH4hH*QW6tk9%Q~;ymp{9A_ z@JIShfteA`+lHZjvOk##+D^Bxwa#XpY_5_*C+gz8&2lVg*a8GRmYVIP7{@hJkZ*rF zj;A?X&1`s?q;(X#R01x1v&MLD9R>-R`8SQ+5smvsaKY2 zleuLHiUGD@tm6Rs7IR`oIL_wgrZKQFORvKMzHpE1`0X#Yh`KNOSOEJ~Xwzy~8~Z2C zP76arzxrYqRaVm0@oiwK$tf!KZ6`e6=;zcgwkKB-FDWRn9o+hvB}S&8dOr^WCk==K zF+}KKGF?+qdHHVtY=v1@2}+`1vUJQdd+qcJF#p}Kz4q(pEKK*LJ#_f7aZRps2R+Gj zVwGqwEOm9)e=_kg!pG6U&eO#|!xr2qQ}tLs3Qfhg2rw~O0E1pD7sd@C z*BOX$3MT*Pq)rtA9$Pl>#NLRT@}~XUH@EO<;T}(V!B2!Q4Gg3i;X)tPm#K;4OJWp0 zKL$o9G~{&aCujm#eLv3y!Vad2G~z{EXWyheXK5078sK0eqe(t+Va}TlnDQXUdG_+< zOCMKfXXAGxn<>1Mt32;4E~!2OA)LKtPA{iOSifdy5##CB7tmoNr%#!4qWSkWsSNUh zYWIix&}_&tj7eLn-)x@uP6aFj-}I0}%MPF3Rkm&Ncf!$@8jbHe_f-5{(J4B+(_HGs z;}}NTWR3;;gT3Z$_Q02bIYhtKlMsJXt#jy}AZvNUn)1~(4BLymglSXp(|GyA^tkw_ z)%%(y!o>9mc&8S{J$+CR%^Gtvs*GpexMaQbPU>xZ!+=(Lqw>Uoh8TxOrxS)Ak;K{> zxN7crv@LC(A|UF-8reLGYI;Q-coUQ{sI5uGNc=filv&tUUp}>whYGgw*^<5!PDlJs zPKaaWS_&&BD#j-^O{Px1=R{wn$#cF*(m_-E5_6kXhEXWDz!ZV?eme9S!w zUYt1}hC$vWW?bojTouu*SeMMN8Y2`+YNQIY-9I=M@C@~m+=OXk5&IrGxRYYgl@LpA zO1d;nHX%lMd`W5wR&{A-=lx-3amDFX$P0tB`_|UhF1k3+c6M8ISpUXAQckL#70bKJdDK}H*eeWK=f()kZu2&faht

~*J1ncdcbz(?Ci|Rv2FpHtzA;reI%}_2xuNOFDkbQk`Lpy&a52?N)@)(5v`85NJt>+weX+Dr{DmVsn%N6! zgJnDdtG(ol;Fd$63q(bUop*eE3kCzi(eK{H(uyCzRja zO$BzYe=?&(X592rDNu)98!}JOec}}mXt;C|`?C+DMS=kuYSUtudKHlE^P1{44dnO* z6JyoxYaOP;gx#z~WXj^$rIl|DrP((%x-kEqGs)C#rXaeQ^=XI zj*lxJ1+j=zLSk!jOYz++n}p@6E=`t`KWV*{bYpx&Btq9~9r3{%N$f%E@l-c!wfa$i zrnE6f2j}kc?>*Wt0(3(D2Iz2yl#viK{6TlSK(ysm)O{P0oJ72lMrY@KjF-EeC1i%; zFu5G`r1xscE-T*^2X-@|-t{BlX<@6)Z+y#-6ALmD3qsJDpC+<^xM?N*_Sk_W)D?|< z1#}v!r#`*~A#Sbg0w+ATG$JpOp(mCtKwXzejYsPRC5D>+IF%Ak5^{a@$`UWW?}Lwp z6)!G2M-hV!-kG?8fq`Ol&)QPQ`63Dgy2&V}Y2Z0^w@$d*FVW1D17rU7YJoid%>-Ce z1;X8F_2_N&c)*YEgL5XHSoQH!5=4POfmYk6fD`m;SxQeVSh6xPF`-I$z9K@16mPqQ zR*&61*ChwMmJC6B9}w^cN@xAd{pPaB*4DN9hE;8MzQ)cB1M-YADH5#5K5`;Z%l$yq z?T8Qzw|8kv`!Bgbl58J!EJNJ*#6W95`A9DV43|v%{}SkW7mK*(aW3Fmvl1uV04d7vT9;zu)C z%2xTBr;Z?W*uCeyLY9%^0MEti+v#5e$fOpRZ;RGiCbdo4X#cZO&y1ZswcB_d6*!vWuFf! zX`AuWJAZ-LO%kzv8p^9SweU$3I|fV=7Q5B}PuFJqaK-n{x0X*#3dAb!PkY zCy-j!ne)zpgXw1xFoHPga%#X|q^$O>FM5v#~^%%WkKr04+_^lR%8%oH1jdjRK+;fs3N@3 zj*bHMeqxuNkJ=t;lLlSDK34ZP7V&-S5iXeslk4mA{kC+D zL<`Mn%!sQ2hZyh+bpkGB&Y~+Z3E#EuNNkV-=TpDsVws)q>H6wKW=rnDPa4j*kvL-b zRZ85ATA$`KUxO9Ux>084Ht_7=NmcU!43SQ`t-@y z^C5|m(ad#fbtw+sTe@MYn+nsD#F$aZW@o(x^771(>b#Le4&Ccqd5si@C-ajGYju`_ zD_1|f=+Gh#7+AZ9Bk$AlLI*vR1V3d1d-PlnsjV1Sq8F@FGi{SMidoZFBF9`J#}G?C zfYVBuGq!IXj44m;ICn;>Fjn6%M-q)gB5H5K)^8XWXXBQ&!Vv|2pT}$J@DS<+G~kec zY<{wLx7tl>wj)%;p%_~FMX?zlH!<8H$jN2ye!@2M=SpxP^}rTYH&Hl$Mao2$=fR<({(f*tiq zUyEPD?m(O_o<4%5p!$q7p}m6RhZ*pMeF3|{_9_nbFnXRe*~3Z!>NnDqS|x9vVZJ1C z(VrLd*mY!faBHfr;B@5sv6gJ&*?t^|4h_leegj8ddTJ5Fyi_TbIGoLRjVVX>8|dUB ztix*PBc3lVjVY69QA2j(3?O?ao6XH$L|eQDx(D0G<3c}RhZ)3an;%Ve#!lhOj?b_E zAjsbqMI`mzIy_6Osv~5N*1=_-AGR@ZLhBx0Z+vhE9I{9MpI|ZD>-e;%%`Dx>VhuCo z_r8!chgP54{zN;oyEV3N=1`ix#WVuyV27-&Ck#j3Tcs)O>x0`H->|&2a1mRjaMa~i z7I|kz&U1$uQ>n`n(YbdvP9M?o5kwzrwgm!Dev?O-X->zCY`$`$9yI#=;*X+9+G>6( z?@>@kd@rfC-PiK+*)4>wx(zjUyCt-Nd&DZE_$nC~Uae`&$G=_X4b>IC8%_($b212c zZuFA?N&Z>Wl9$GNFw0_ROCZ64Vw_F)jt?Uveyrtthbv0;ouRO~_!jh$8*qBM%s z?LfvxhlWcu*7+MOW2Bk;L-70kI#W)ysTT+*gBXW9R;h7szqTz#NA&Si=9t$DZfV^f z=}h6ClIkvDRx8KnllFpm@S*7hy=zMV3}*lyNKMZAc&Ytlx$E0Cs; zhp(In`*~GU4M0pkcAE6DH<`qiq~*`-V(8$SJmgP1LfHZlKO?W-wFXW z&G%Hjv>*uSOx76QmJ_!*%DRVJR15cTY)|E<+1%+m{h^TNT@3!V* zSYvTDr7YyTWGZuN^;kkm%6BQs%RLA&(N!8fmV<18B$ozAdVmbU=tu4CXN$uQd%-}s z4K=V8ECV4UUU_*Z01|zmAEFri%JD;|*dS7ovg|`QH$NfRGXeWXyB`T24Vh@x-*@m9G=rioN#P2pCSa8`Fb7x@yM<76GC$DQY(Ec89H5ibMmEgnVzTF!qh40+}YvOd+ zadBTzdSKnhA5@}OhI1+jz$#3LR$tc#O}10`KSLPdc|h|QEd*0GX-##-jj@-BdM z_Hv6ZZjwEH@%N-XdElZK{97aBS} z2?srX9ApG2Xk}3cObXuC%f$Cxcp;O9f=wxv86kVMo0r$|gb-qgEuWZ7U0qEmOh=49 z+#JNuIo4%EVR=1I3WsVQBu;A+n-Vl9dU_hSL!u+8crY3&8Z~kcqbsH9lVgjc6(t<= zQQ{iaBCpPl@1>Vvy~Fa~tjY@>Vn@I_G|u|G)|(okYX@81gGw}i+pKA9{kH1(iqE^( zRW2JH6i!bv#JN(Cm1cM#$PF}X>Fm(&PuPmy3w!|R7e7e$!QMlnDe0KonwcqaX&nbX zm`2i^w;as$C18!8HNLcw>)y^A^z3cmB1oEHY%ap6yf>oDmtUxuD?HZ7FjEBEk8 zWQk5X9qD~r_!5bXcshO|e<(BNK4--|QO6dP-9+vx<^q!xzr75B`s|vgX4@KV8L{PN zh5W!q%u)mmzF!#_#A3uqA;CII!I!j;3!Q_Yu=VBZDwU1IayffOQcB_a8iNo>gLh* zFw3%XD;1e1W>(N<=Zdy(nv`rIea@UBeQunCY_>^wZX3u9mSYA-tR6z&nT3VK*?bY! zYTLaaZL40wU-N2VRwL!ZeH!*0$iqN4Zw07%BBTd@ukN}rx<66Yt+NaR8W|5*yHUwgIt zhnQOQi^yAnny?PT>Z3+pvg+S0D;!l|P{JAlst3P2$RA@#r;!h0%lf#xT z8=4P`+-p2++JtT)9VD#7Db38_=X5^ijF$1A)fk^3`@6P0PofZvA01i!lG>WVV!H+Quws$0EndW&P*r z53Z`q#RgY@0LtZpmT|`I#~Lm?XE{DAE6Hu$SNtoKQTeQUB7$pUr}5_zf6nz zV<%Xn9EmD)Owi|PmL=*Ry&KCgc2KbZMO5xT54kedsXyH5AN4PU90X|u9K0q-Az5n) zZWU>4r$lZG9Cs#D2Z!4cDXZF9E!L%+uYW8FTCYf_g)M5$dOkGf;nXqix7Yz3&6irX zl#X5YkUEII>EdgQo7SVAUyO$JZ$f@2TI8&9{DT|wMtEI`lRu zM;P|&oy-z{X+iY}=c9U82OVFseEqC_s*ejKI~G%j`S)LK#$p*Aj%!D;B~lI)Y0=ko z(FHH?QbbU+ofnX4EYbKR*5W_v8|R518hD(^U+o-g3T}I^3OlF?8x1POS@eG!QJ;{X z7f5}C1N}|xJ$=&nyscrg`?$wJ6KrrGSNgu1v61(S85p_Ej z{!|zr9zP`cu%9xbP1O5=N#_wGRs27$bor+3iLoL1epC;@Yq9>1nq$w8($ctU+EvuK z6i)MJ-c$~SUt=%cwK;U%r$>?Lp=9=FVZg@3FfhvJNB5M-&v7pa2F$zGMf4QYH01#C zUw7Gkw@e1#mfk9|X{`Ht{+XMJ484J@yFE2ddr^2i4V{UjFTf+K7zyxk<8r5CuW3}0 zb$Bu_4{EDUK=tnv9Fb+jAt+M?Ka;2|S6P&wIrZ`Z714Z7po$fGieY&sSBEj2?M8)nc!N15K0&7@7ZLOWrIGF2$30}Ev8`Sq zqUrH@v){SE#Gb(ZnjXb>EB&4nGDk`V$#Bl3aWSX#hxeWDWM#)BhC(id`WRPJmRS58 z{t0CK@chm>9QdiX>)8g*JY^kvh1SDEOqFfRo_T)iCA zIhJtujW}PAf%MwT5ciAsR)h^z4!sAm;bBF#x&Nk){XbKvfh?}adT;hN)88Kmzj101 zt-}1&ZIWG+MLoA}Yk@FtD)~b9Nx{PRCTs%lzF224y*K#lAsC>0s(l7%sp5g4y%4J# zlP|g^>4Xlm>T0w5(HG5jl2mj_%~=Hc+PCHfpXhVatH5Nk}5IpW;}>g8`mcw`S=60DM9S1V!Q9c@qY-oZJfnXv7QB2E5D zzd&_IqG&qRu$Dx)BYRDaW&BXXDavy_0qyVJfpd^2P4uzK5f@MU*7jYSUT?)3X3DBd zb5q*$w>Zf=ip_mt0k!p|oNQW|zY*<$b>aXFFt|g0!3>CTKuZ8&bE8x^$q6KL%Tp3R z0gT`us~0@K<74=zHB6s_{Q7e}F>)YW7M<-jZzfa$}JA_OMh#T`#7ww1dY&qNB zj;->0SgAR-*`6Rzk7tvVcaGIRH@d2ILdBf+k+^Fc-@3Be4&H3d8&nzAA=4aM;L|&| zfIi3|NGdlJ_pyW2coPpFpKKPgS&Usfo0`MDE*?B$F9@iQAcuZ^Ytg{nP2bvR3Ky+(BUP-$k~@zf|aW^M#}eroUbx_}o9tFpZs{Vi_iy;NjjTvbeG zw98u4!Cs=iHoj?CeBkS+^9O-JT%A_sQ4bX9GyIV&Ijq?}F(f$qhiHP>Yrl`~hdib3 zJjkU~z}H5uKKpysb~qT&@Z4?{@AvbxB4IN%J{XV(V_9uk0qcQo3f#5zYBybMD_&K* z^8&)Da+B|`mgrMWIdfbqJ(A=iRw=`cS?6m_`Z8|B03{Jbd?_qc5+ZGW>iOR0>HAVd z!RM16tqEZ+g!%8qMi5qKW>%bs-X0KF6Ei4r4IbqVLSZhT^|VKkWd5A#aUc@fIlgV; zoAt7(0{Lj{qRyZh%6U;9y%aKO=;@_y!`(GNZvZ%^4; zZazFr^iaE+d1obE53ULXebY*itCDDz#kyY`2B66xSJGYK{kG?vdT$DlRDFPL+f{B!lGDQg_iu*Q2S? z0GCs%(TovOI|T-#DV{l}=48xv$uU2Z@azf@JIYC_mF%tJ3pQH{kPyx+Qz| zint3n+6U)D=2(lHFkO=D0K&KXyoyJRh({zcwKQ}nAL>TuHV0?A%N+Zg)E{k< znnwiy^&bG_d}!mRswLB8N`R3A#W96rK;eHo9L1^)YhJmr;qs7*-+z74ng4B~5A2&2;C@wB`wCr2~q!huDIb){N!X!B08Q;4w z&=Wu#V|g49QeVK)G+eusf^6nY)zdeap#yY|#yF_#2Qorzl4Rw=X$qyl&*D7*NjM+6 z`0HgdP5^Fx=uJiI2V(Ih+N6 ziio@GHaaJ_5k)ks8nfQ2e*Q>-3-|t#Ja!NjPD#Ccdz2iAJ>IOu;}xqP7rNAske^U_ zCU_zUPF#Um4}F?%5!-;4CUTA+$k0my1rn_Mbd@1dmPN#wfBhM1H=-NyY40Qhq!U^8 zkzw*#HwNfc-h5PptRweaO!3iChNNU9j-zp03)(V$X=^Lb8y*SFlza`dN3YTpra5OT zMkqt7Xo4wY-rBLMMU|IJM5z2PoBwCoe|7)$5E6=s9{DVH`$9}hNFH}*_c(xeEif#N zd!z%@E&THU&|igsnvx12itoQLf{0hEZK5BKoa{u(t?}e;!$q`CF{XvXVQtIX;`AwS zK>rk;m(tA!E14Jfn5u_FRPZm718kwb_3er>V< z%)3n&cbsEGo%gyR;0}TQ~Y{+K|z~ZTqkrXHya>m5Wt4H*YvlhS&JW z9Qt)-tkEeLiBbtFVBv7nAj)2?WqZ~NVYZsY2EEnHqV`@@MrEr5a^WkL+wGNPEV1{9 zN>?0CW;qy{Gxoru7VTmx0mtd_pM|~_ zuiZq0h;eRs?vhI#RD*?0?)1(P8#pS!qO1iCdaWz1^V1_)sx=q!i z0Zr}vjRhco6~Rx!0Mj}HxR!|LECPK1ZUs#jP1TeBFwFd6IAZ&%7x4GO@3c$gab5gq z6OqlwfI6@f(88GkW(v>~=cTC^y+V%!eNJ6@X__}l{ZDV9oaFeO(_vQO>zBwX$}bv8 zXrKp1^GJQ21`8VXSTj6JvH0+8>Tb^xOwU_czjlV;S73IgT`cH>y~94tDVbQF8&&3F zrXT9T7{9lvjPlT~KRj>_L#@kY@e*GyCgA$`FdOrBuW!CxDL^)sG@*ln{L}bdO-ams zEX5^!!Rx2TxR|jFPzUr|`IVD13cR)TCU;B5@4EkzZ$ODznNoW<8Tm9qY1xSXm zs-0mV-uv6?s`vfDkPACjLgP&7F}_;(R!({7#4M65AS69fM3B@W0OK5>uaJxdhK+P=DvV*c9% zpL|M=f5%0RPlN@sn3Km9Fa7-%>p%HVvLte=SY{(<1m?LpQc%Ms2*OE16A~Q3SiLftVOlBLyI{D679-NQ5{sx0c0iJs6W*~`* zWLDT6&@m1uUd_PhOBNoF-2-n5BnOZ^i2$v_2igP(bmNMwah>WpgK?c&UxH$I?=Tf_-*7rNG_1`> zTpPgUhTa$_WOtOJB4o59L}f5V(3)|t{XT*~1cs&5{LY-(w6*l?x87LV+>6?@ivg1B zd0hN|t_=dVb2!%4KnZpQtZG{zqXB$zUk59C za07Xizq<;!-O@_k?in6ApdT>KtkB9vWF0Kv+G{aa1wM_^>`2LaaJ}M`d>#cXTPK6! z#$e0{JNWr}D%owC27cYaK@C{pL}p|YfIf`%%mL?XnW_g?Fr+*zN%bg5jndnVdV0k1 z7&Eij0njIXuC$?M2+YMPN`>T%Av!D%`z)(2bk*K#8yHZ;ytVi2Dx!}2{1jj>`&vPA zC8@wp8fEZNFEiFxI}qi{ezQt+B_Vp&xJF^!;EMHi`6MKEXSyV-*rgcP<(kKQt|`<- zo$5D*U1l*_HxYAw`7?UrA)roR{0Z<7o04hq-r4;!K%+ZV{D$SfTqs_3hhNW@ZY~|W$L%)b-0#Ce+f>sg+^;XZh9Gz=SAvo8 zR<7L`Lc7P^Z-O*~8_>o(m@vH9Qm(T|sB)Z@60f~%XyKj4(AZ~%ASrq_*%qvkITM0z zXnQF|(Ees)fy(dC)%V(;Mr%iak|5sn5gy#$ln}Mk&n>1DhpaKpZn_!KPmf-AeD;!f$oiaUZX z)}Sy|VozXO_Rb_Y{J3TEeJ8=TqrNeZX>GXV`(airP<$Vnfk73J`^Y`}xG0&UeTbFM z_xLwN0r!UO0Q5i3E&s7c{y3m6?|{<<6ZsUn`r|N@a+_rLh(9qBp|P>q5ZSLDm$!@n zFJ1Q=w#!PQHT!=H$RX|dCwk1Ua=od@Be*&D5-smCB4K}}Qr|~F*4F(Pq*eLNNXzGN ztNUA-H2-z2A;do7>y;h3JI=ZP=TWC*|6i#@^GAxb9g-#mG@!I^W2OS(S&-k_lN{JS z{dB$bxrr~5u#cKhhdhDs> zSefW|D%16IdSx$D!05T#hi@IOpdj3$RG`izn|ow@xR(2oLT4RM`_T7Vb7IMQ>LJe( zZ+aY%RS#*nR#<}8^sTOe!ScSJH>i~}u~rq3YbO?Ps{?dnj`ns{qVElXiG3y;BtNoU<*kwieg8aRz*b!0yFCb?*TG7UV!%c<+PdTp3=t1N><{qr z25FmfK-CA7gFI+@$7dD|(ly!bra4W1dZ(uMxF3JWGkf~Hil?zxV!xGzJMtRF@=i6l zW5p|XTb3wR0g%aC0Y}lK37DGG+4lbD0No0_%1!#AP(Wmm7SOT6nRdfZ0wM(gKN|pr zHqe9AsW!lR+a|}S^Jx0z3wl~NLdD0J_Gvxj(=fB&;y(6q!HONnXQ&NuSsUl(t_IPjZ|dp zslIVDbI0_4{GonESBROpO)mQyB9Yotn+9Zk)k=Tww~0R*P=R(z<-qSB7PO6M7pCOa zRGcmqf#~^!ePN|loa!zeF)M@`p)UU3r|W7^2k~c-5HkWwY(TTi_0qs%)RP{Nb;y=XFNmd&+@%iN-y_e0gg(D;{#1#I2Cf4% zNf1tS&pL0qYo3q9ofZ&kK4pqGnmcnA&AR)f*V_E+Ro1Y*2OtO60km;4d53QR8EFLo z=^ISfQ%5`<@I3`9hysd%v(zc27IWS%FtdB+)_XV6wp=8H>wEp?`)Z)9qe4u}`w2G+ zm1xfEZzz#-KL!Xkc(}Oewg3gecYDE8!B3+*02<|LY`6*q3PF-Hi)Y%FJpfE*?}IAq z!SJRR8SY~g+Bb}dENG{=5@(0@bUhKEP-tF02rDnwE=nbCLRCajoIQ5U+#)qFAV30Y zOn*QJlLbmi#X5PG5=J`qCMyU4uHz_5@I(&saB~io>K2-Z zb*(z{CS`JuFaVWu_#-S!M*zpolPBV8SV!|Rj)-o{W3@B15~2v)F$EmP#4`q!9x2fY zJA-AdyFoZ01Km_*nHr$kd}iD*b(dFw=O);LzIgJCiwglPY>(DTD6q^&K-Rmz$`021 z;>E57;XuJ&!}vC;2o)p+M7AJTDGw!G!K<(=S|-qc1)Qf>*R*jxWC~MsVjkw64|f-b zupY+ff1s>VH|C*e4*>|HP4ehWzhey#e`1<#tizMS@?q3VmrlGC-JdfDl(M#&j`BR1 z7m4-ziEl|2kBqDA9zE1^X82vR`>_)y#vWkvu#`%WaenDYnB^QxvA?9;zgH6c*@A?f zO?&0V_xkhY57IRcD~vuefdaGc_wJmBz)pPHg1L5o7HM^ zhda8viOxH1Ouju7a@}+G^~ZpU@4oi^Et}0%#X?{^TmSf5b-?H?DvU$6{USQBNai^n zR1M69U|dc$Q@#BQtDR$E`$pZy`ad{oZ;bpV>cKkII=ugY-317 z+`f+72;ek@#yI;BRVv5xbVO@`)>E(j{!E$l{%I4np1~$kSdq13e*yaS<y;?9;g)m8=^N9YyLhJrOkJZU49!l>2 zdt-1KL^#OXpOTmTuh7{>hpIh&shM^>71t8feB6t#$+(J_-+kM{NzGRM(8D zjVGkRA|}ikU_+ov7=wXRv$2J4_!pgQ*0v_+^(5Q6jfu^^ zl5KKz#WZ;T3vriR8+s$$Yw?!i%sp~{?b`#p()Kl-whMei40lD!$I*!W*)4Csx`rt( zT129F4(j1a5~zEA(*`aGkOI{z1S=r;sq(k}H}EESKL{k!hp=4|aVJn1{USG$O4NKd z%u{4=ytr=xQc6#mOq(<;%bA2Ya9*uipL?^Ne*WNk&Ar)VSt$MSA^sm(5zg54 z_xN8qmz=#xr*Ue#&f&W#Bj$`I)@UNLMk}bT9=;kYEY|0;7V3^ zkyV%_bh**y^;}AW|EHqYI7;lJc1iHE=MY0$v=~s0E%0A8HVCLo{Xk_=CDFoBDSK@J zDdM(1cpN2m=)o*{3K7hN$sY+LWx9*kX~IPd;)-Pb2lZDONK(qpaOIhlbQ>?mZ2&7d zgTM1Vi=NzAP$eST$tJ^_!P`@#VM+K{Mu=CqQ}J>S-B1EC6@=GUyC+yPaw+tfZFfrM z1((149pHg7y11ez(QW?KB-3yU61ppn`PePY`bAXV{gnZXv;l+I;7no-@roO06Hvfi zt-Qey2Y_6z|Neo-k@z0-sCDQka^z#jJFQ?)Zo)rT@Wipk)=q^RvB-#?j#2ctW$IZQ zel52@AaRta_K}UQY5PauOe!$PELA#vFwHTIG}>lzGl6Fm0Y-dMHR&fs8_fs@FL{Xl z(!3r4ls1Mg4HmEK@E$j%9@z8yQDrpIMNdYRmY28oY@aS$Fo{+Bw|#`TA3`4;4Sd6L zV;kzMB^PY+OL4Ha0LtYPfF%JttIB5 zK0?z)Qp>FY3YsrVGWwV%bPt)YVvnBeeY;tXSn@dhc`O{M^4fMay+pUGHDaHI@Ayb=wz0SXc7>W3?%xJTSU-2QFLo&Sns z02IK(B`4=!rCTL&yyA}sE!<(CKH_d;)UB4=YW?&oC2_!(Ple^(+&?u+EqC7T>D3r~ zz}5J84@(~ZwBW1OGetBZoXBap*DWd`?wm!2jr1=bYR678 zMQs@?mCTfRC`x8RlrqmVNtCf42hgk~O?Bo@L{bMvBJXcn|c zYxBm2EUMRwYi+a}o;_ifnoUB*Z$JcXzff^=fXJ^+7i4=4>&uk2B zX6)%Q{w{&kd6+FyYe0OmrNddNHBd)Fio|GoAW~^~k3vXn{FME)RO)U;r!k0L_N%oT zN)!k#?xG#=)a;DOw9!c!Hs?G3i~~JG)Sz#sP0+9xDP$K-9(`UA!~PJOgw`Q#T{l(U z-H&v8Jm5AlkVf|Brr!ijE}6L9&&bHA_Y!6P9O~FqeZd7U;Dw{hW4RuG$G;ZZK`yyG zJL@cytd*LRJ*uXtU0vAx=k>uaMAES{VRiHxq6>M)%}` zM6!M9+CuLh%qz1XH2?+FTsxJYP*hx8>~=D#>M*X16y7M~R%V=_l>X`S{~QXU9aegZ z1=zM53$sG!k$L~1vh~~w=zY=8V%-V%ASE#yS~-$gT)c3NUyc z4ypF32B*glKUfF+6t_=FBMUjdK0)nr(i^dvJRnM4p-?Dhx#V{N>aF{PZk;EK73;pZ zRPu#F3Akip;^%5}I(>+%)p@_d+akzWkL&8*HYaU46>EEvp?8#?fR_44sokDh`;z@T zcI;@?>|}pNe)mn`re^++F0l!5gZQiU%f3>VE?rt^zb00$uN_N+@G`!*!WoVh!iAlZ zVc8tjoNH8KuPDwwxfgV94Cb>?tXCkYT+_FdQ6e_39AHuo0DjUSdQU5>^O45ysKi9J zuQCfy5eW9^>dMmOWkO@B_qnT!o4Eb{c``2=nEX%I{!U<*M+vsRc&v4mqH$<*)ZmX9 z&wDDo)jLBQ=pam`LysSFQcO&0KT~LEh{DqV^aY`~x}33(Zqmc})s`zwxSvoyR(Ylb zKz_(6PfyeAq>`btUsty(8z{k!Zi~-D&L8gaj*v=vBg@Mr4%8|KaXo%E=3N+6f{~nK zXv0it>(J=IFdlM-%Ap6)Q}Tntc#+6=l_IGg^K1o6SQ+y8)*#$|+;gVa%K4 zna`V<)nrsxS5vAMzwghb<|Tj}=#}l&_|IyeH8JTUD{4<3Ii0~m<6?6ewj{gS7IwPD zvWOZIWBgM8b!<{O+})0MJ_r)} zajXId$US$d#`J6pn#NwU?A;W|t9rt}W`krx(bm&D70z7nWq1yg)T&csZM9C{TBO<+ zBN{E*v6}iWnwf0`=8P%9(1Nk{d0x&rS$XEn3get8tn?`=$5o;j73#?fbak`=YF{~7hb`nAGU{HHH?@}^FYgN<_iFShWhhpbId7S<|bLu z6SKf@=A<}w;)y7zjTFKq2lWNhf4RB+(1?rNJ9NZ?CZN}WDiV0f^?RvxahGmWGi~|(+bXQX5g@4oA6iehIU6cQ!9 z#p3^uP-MB22PE|;*`o%r9g#s?u`CTKX?mE5KLm<)!=2dnf~48`W8T|AKtv><5Fs`J zCJ|y{V&C?H_Wq8tiI$bD;gv%VbiBp6X)|s7GstRUX7-7{54%?tFPX46 zb3bS~-ymw=PU)qI5vnhdojC-I)fV$sD~aT-8Lq);-H_ZnEy&ToI2MwZn~me8dYtrgRJm}#IAa?I*otcIQ4#AwA-b?-U;1I*FQxOI^W z|5(qf9o`p0qh@nXpW+#kGlnQcxu~Zn0y*vA6@!HaXO~AwY8n0uOTM7|{MOaeYbTwu z|K_x0`TFete>c++Vk1EyFeA<_+w3nM5>e$()-fzT2v-D9-P4{veJa5gX_`K^EQ7S2 z9=4@$;?(0CpYv=0h2Gv`k=Jw8uC7O=M(nkN^7z{fuJ+(lYr zXL~migYO9v_R4`?U;sY-8HKkLsdhSBZOAzphHIVJ`ycB{pF@oLGPM{0$D)v=%&$>8 ziL%2#S%U(r94fY0%y=5}1bfbY;!<3CN(#N`PhZ{q1k-P=98olCqu06a3paOW6I!+r%bGsm;T6%;r5z;4VYDnhWMJSGltxb77b3r-L6n_|&ede(67mUTF|r2x}hHdowtr&&4cGsJ)$Mn)n-gEZI8oH#KhV_3^bd z^BlO%GSayb?jQy79(aU(o7G18O@tFDVwwnkf67g>Yu^-}+%5K{*mmj9_oEWgBm9sM7sGVEI z*!DifF1BN*W@j)LJ!T`m>(u265SuTy;}qImdu9dedWn8{Of~G@KRmh@`59I@sU&G{ z*G;tv(7q3r9>v|FYb_Xm1(B9GE^sb8J3A_LqK&)NSyoXy2CsLTGX+y+@hpE9;!T9bE7) z@$*exTO2`|$`uSX@Fg@lA`oOj?k{`(!iD4Kbh}otG~q_!sqnTvwnGTi7Rg-7%DtR1 zt75Z>1HsHdd{fZx%%gUFj%Mj~A3GMc{nb%jqGCp@jFnOA6r%je3|Cw-duUb5?IwNn&J&EfadCaHU(F6yVzB(u4{rZ!KpW;4% zp0S1+##U{FCA1+w2N7Omw}Nnp+gi4-OuvOed<|ygSp@|J{wN>DkQa`pk(mSq1bpr? z7hH-TYR8avWBkvaMJ5iZZn8uM%VuhkP9>?zzbzO)6#V$9P2$t+(Ng3!U$6oSKBX%k z&VKPC_nmbiD^zCl_+G>1ZevVjJF~EyF?IMb1%piyN)C(My`N}FYI+Ij&m$c+ZDPiK z#W-eX2cG*6Z4-ZA$h*zS{p&M+b|hIV04wpQ?MX>^fo#A*jr{`ggoU{jM-4o@C@L{U znWg8{MvjA$b&UHNGb_wo^x({~19eUzUY?}mEon;wJCMUknWuNeTm2nE#c~?nM_RnQ zFIePv$6gbYxIMhoOyEKqW{qy%c%*U2j4$~8mb{C)T?vRHZZOd?u;d&Yz_&Jl4qr4* z?E|!arIF0ePcm&RPiQj0G|soQSjmxHugq=ii&OBuuTt%jgotCBbEWvwryagtBJ!!x zpGHTnftzCH!d@cI7Ad1ZU-nVh>;bG~P=PN(3^pYmWt4|EsNm0_)X(loNocu3{gDSO zLGXqm8L|GwK))+AJ1h5mIZ>vh+m%HVyIBQ(e{>q1t1Hh`A6O8oapU(lIDNaWpt7GZ z^_fE1P}h#_Rny99%#c)d~y0wEN{S^t|enAB3_QLhIb6+w3wB3g; zPz2(-=S7@U*02E8ZSeAH#@m8Q)D+9#nQqbQ-~4p{9Bbma0Fc)5;PX0wn?3EeTel%YnGGmijeQuapGx9*qsY2@yJ)UoN zDHp-j>j@0x4YVx~OB z?P2CN$!!G226E*y;3|?l_um#~`2FuAw#*Gs@z>MA_f#KgC=Hcna;m~hF)>pbR!R>W zr$x@fWV7(=cqjyVMf7>c?I1BEasSfGct)kU%O++9nBbuep2M%{hL}s)(_M0)ZFce+ zn|zgF6(P&1X7fgrQ7n$5YrqkN5u32Imm)&&i;Sp=nMl>g!=7P9;|;DP%N~WtA^Aeu z(Y+H&#~%4Y)^7a$Kbk=iSbF;XaIc7NUzx2ho=nW#mmbS>Imm+|7jGq;^dTOkJ|#o0 zgjTda1&S>PV4}!jO}!kUO^Vu=M#|UqXIxJ=JH53oEYBHxU)~$U6&$So3I+IPeAPE^ zCUb6)!zTDt4bRYj)!;W#k>E_5 zcFl*P=BhR(O=h8}9{$T-zTYrFC|0z-q^NN^VrXy2aYaYCWWnefG2)ZOiW#iUReaKMG~oQ*&5KSeD8+ev3D zn*&O%T*U2FpdxC)naD_2cj6Qj?d2U35%Dea6tk)S{`*fniP?Dzis`J*k)PsBaPbN; zf4OBk$|ms~?CidRvzm(vBKG1Dx5kN*{uJ^=`2Vxj?2g=b()M9@y7Eqsk#(3WJ@};2 zS=kSkBdAhQ)1Fclv2Ldxw0!I@=vI!ms%ahSu}W!qq!F@7ylHH{8fws~Iq$0U9SzKC zW3R73TCJuXD=jSKY-KfM4npTmq>wdmO3>1z=t%6W^;Wa-QF!|a@gMaC&b&^-74J+CF}4rydqq>ptvhQ~MGhV#Z&x2}J-j zd>U~zn|sU!+XCb2Z?M*C)nA^LstM6qRzT|@z4+b7p}5GD!yvwoy?G6HCnsktc^kJ> zMp2QWx)R+-7P&JrL(@5^VT=gx-wiomScrY;h`MCB)Hr8fasT|qPO&L419lN2U_kZ) zbsxH7UC;?mabHn`Ec$46HfH~iFI~7WcgZFoPo{ZOproSqIPgGE1^S(G@aD_M`T_#o zuHGx^E`;)^b)x6Bqz}ZBvM0pE7)$Z}3U6)Q@pGrdA1;r@$-YjwQhaAI%(`7qm1-m% z1xgEF&EexgT%KVKN)u|>_?=?h^cAsnd4%>k)N;6gsTb7R!A-0#YNNsh<;FRu0Po3< zxR&3$>kO#o)AjZ!VkT5qO*EPlRUnutZ4>_JMcy)ZxflsJT*z&UXOQRB|n{ou~f+g$%bDJu3iLjcJl)kU>7b6`vL)2DSueg91}1|}D`Q|O-T;FK{I5NbczT2N74 z{r#H#R%`0Gcz%pBoao|p-|FiQIXxnup5fLP#-ksf-V((tU2XOizji3z$RttsVzr-- zDM;;}8=s$F==*Shu2*on17oLx&a89&kNDzo`*ny`73(=Q>)y&U^QhFG!kf?dwM}Ox zb-bY(dBf;!fglZY;%F`ri@)aCcZb{mceCNDJnJb{0%9h+>qmKd+D&AwpSAx6xq|}- z#Rbi(*;^At+7DmpHUgDtNdl=l6ew`6OBp*1a>6J3DjLKz$1NrqE2N~_)2pjF`u!FU z;4Xr33pTifj-i7GVw6c2(EQMow%wu`fRmd#ob@M}Hs(B~5`J9`sWcVAO$8PEF>u$# zAg*yR{VTwG&k?duV66lZ*F}nh^EvsQw0pn_I1mhiM@+%YCx7_NPU&YY1-^=2UQ7Fc zx=o=-d7fv?@2wW&nq-ss6@=k6D$ISwzqNVJA|ONZEp z1faUtfXMA|U(&_j-ykUkD5z|z1&cANt%lt7`LnASqa{z^1O5zo)tLAjiI9Rw9r$u$ zDVkSxhOaWBVdykiH-X;JBd3QJoneqcZ!{Z zJf2NXS>bJTcJ_J&BnQk|_W0;EY-~@pk^8Y7;2NFUsbPLV&NvjD<_& zv}4uu7ymkFWx2$flR`H+&PmlMQRep%uFvwA#Sh_EC{*xndxq$lSz zShS=h-LeoRN&ZyP)&2DJ3?1zznk$9yD{d>6b?Ow`k(l`u+Z1pLh*q&34dD(k2qB?K*g&kyZ z&_T}{#J2<6vhGXr#-Athw>2BJ5e354%ubtgE&M~LO^A(!{295JS^8|_?!y@Gm#ai> zTCD$1SBZXR#ThPn<{rSkmf}%9?~4CJScUy^aw6LCLeL6zI}q(6k<1Cd=|as;*QCsS4|r{+E{l>csB!X3)Lg(=;A?D0f}c#ktXGNy z!{wT^+5Wv~)+72DI5UVbyc01pPb(N=b+8t+i@w0YXEUE}(9+6Tdh^9mkvC$R;_OkC zZhdBk)sm1FGoX3{`e_q$ObR^!v5p7?jQekYz1+}qXEmw{~39{NQyHS}k zyfkEAvQm5HSQ)x9T^3z++(3c~%S7k#M~t?u1>46YU5XFzOpF3jpqirY)X{z2V%gjI zcMl{5VE&W>)tq!l{N1wP6gBy^@ap+^t0)}_xEp{>SOXZ)o90ix*W7Ob#)f~Zzmpsm zwABvJN&y3(`D5xc(Y0BwKf`nX9-4B0Jj^|vVgvKuJKL=ba~lU|){Z~Y01xgq7roD%RR8{XxgF^6W--j7 zl}iQkbv)^VDM~yye7q}Ssn>#e2W&Eh6JD4ADaQ|hnMhzl7c{(dW-BAt} z_N`3a0D-x7tUl|#ea6g1u@5$E`Q)%#MF@S{GRw-zYqjD>& zF=&eL=2DL|j-WW;GNIfkF}c~#`oD#6r!ty7iEU$yznU(iI{dTnmBr^)_iA=#EZUs1 z2y7n2@TxzSg?NVEiI^205*N9HumJs35WoVOzmFu`t{2|FK+Z5AI1$F|c6~v$`o47O zcamR*Thh&2C*tQ9EZ*{mr5Sq+MxH886tmHJS>&98=e-EMKh!}37ch3f*5SypVWa1U zRLE2{#&C1@;iM1sq7goa&ZRuoAvV6u&h~zZ40gPvx2mRd#5P&u&~uwa4=Uhs9?2Wz z=+xwYzr|rNq@#O6lV2LB$O|ijY9xzQkEOQ&a3X9HRYM!(@jzWr?I)N7tj$LO2N||x z%}uA0dZv5Km~; zjvBm73ZV~#Ei-1qqMCEu=#A=})&H3ZCm8Y2ZwkAbjM>?t2L|ZGMI~Ph1r&aLX)nTN z@9cCljhv*}88IuhQ$b}G%hpsHc~!GK$78GGz3l8Kv05qOP8NMX>Tc~o8v|Wk6b5;Y z>>gLDIkP&qVXA@}(;G>s|F;Qwo&JA)N(JgQC~)cr9H0E9OZrsMqU?EjabjoV4YU4< zwA*-a2IdS8>t$A~YFpgFKustj+%rr66M4tad>$`Va z&Sl1WtdtKmf9nbgSQ)B)uf^{Ion4oU`OkiO+DULOjY!~e9Lc8K!PvK4JwbR3@g)fn zi#wrJ*N!uYK8Opx+D~{@A7|R&^nmAMAJwShl>38T{uRI2koGZQqMre@w^80VNr&em zCeOUJ=Vu$~wDXmC9d8)1<)d3Uh&O#;*HdKhg#7M)-m4tUvwQ&Z!A(kI#=DA&Qz~RM z9|>XJ)T<{Ry=^RU2y2Q?Uzq#ZwRn|ODX)S(a%EKH)ZRtgsGFO%&gpS%zj^=EMeSrf zvC~n55U4~c4AIBxoL75gJpDz#T#}uMJL$uw+U3=C;0i+oF-LZ~PBDh#{O+y^LrXOq zD*wCN9~9{MgISkfn`enf-D({Q>Yob5pWcbB?W`%`HK2oBOAOg7aOpCnQh5QfYA+_- zf3Y^l+1E6exv=Y%PNk98h5b${`oH&LWwxGKw-nVpuE-C&-MWr~-Px$oU4WiAw$E9- z9h`YabCIC3`P#8#G%tOwZ>oKJ|6m~|Ye=+TE~w!siF1(-i{?MYg^kKlB#HnElY!xL z58$)*0mmX(eybY9SDeVcR%Sa6(D7`_@a9j~icYeG9{`Zw{ysq2)dXPZjivLUnKt%^ zyH7`Tj$aL>eZsXhJiqq~Y=Zp2@vMOr5k_9siJ9{-wA!UV=x^Lv$0@+#>u$QOihjdrrS9Nf%MZ+>W?zFX%o#8 ztquHZ8U}t4N+;SC@=KLmC@YJ_TwXJwm1FH1t zo*TL5O%eP4K}C9*v=!@Y>WD3VYW*SccB@DYdxDw&X%-Pv#)KOc{e^u|l>v@Nv6Cj; z4>HsLVr`APWW%DyPb&{!7`hB1%%mFL#R=?+RDwu&z*QnZH$d_XQ4xc<%Wv-N0!@Ve zyYHOlKi?YHFG({up2X$=OMDW`anbNnL>d``otTS9<|}*c_^uUYy@rD7zgemN1f69| z8~v9Svpg#CR^T9*@P?&Xh0wCp?>5Y~^O90P`&}TovW>fIiIpQ|__<)~H!8SqU$eFl zjGhkQLQPhuP1oQIeWuNVgR5M)KOm+@8kt%c@M5ynzxmGZK~ILDAA~&9&LVGZA|Pk; znWQvw7Rq<__Boe=uP;a3E4F3)jqoiKY-MdNsNBi@3*|44IoXt$`5HEMvPZ%S06}Gd zYKtL@fkFIjFsHv}k>4d^8Um`#c@!cUMauZtw*~Bv0gr)MpYj~GQHMY+`Qs`9$gy^H z#-EPL?Nu2Rw|_0<+1|#Aj$tzxDC!h9W`%0o&!eX-j68c=3j|vV#!qOvfJbrE$FKf} zZ`EZ{&vHcj7uiSXxeM7AXNRe@ySi(;QL=7fVEHlID$;C~U=rfd@5c%#ps2_FpRbJX ze3u^Ss0D3K>Cf%tu%MnELt~c@CrC2B;=kWNnyc8Z6E%ogBgjgw0((bASbkR=0RaU| zmmI=$AnfUxP>Z+sxT()Fr+oAX7{hGQ(hDREynZZh6r9kH)xqEbyBk+Szp+fIar|nP zFwmhc(s+;i%y|QYyQ%)mBOZ|>g7lkUV$C9`9|-=}U^8cctl=VWj-j95t2pEE z;E-m|fDv%i-&mx=s#%>PFbt@?y-^KWrkNTWnAZ@WSB%~(-8g-zCfwK0a5 z+Ge(0JhFFKd~!vJuHw|D_h2@W09!fbpsxggxU8 zFo+uExTd!Treoq(0~II6*3ilH8(>}uNsa^D)}?klNlhd7A78aRkPD$tM}bKD+A~w6-dwE7WqHP z5Cr~s(cx0y)YE^ro;6zMNYCP%jO^>{^38YCRL0kKzhn)s_@4=-glhcIITU1j%5wsK zEv_VExWEy(&pm)?g>*Z#fE*Ug{IkG}$+LUCFT;{1kND;IKLVP;^J-?g#EcZ3-M0HUG+)rv0Vs%VYVM>oyTkBgucK*p75$lulKzoR^w!b7F_=npagaSwCSVq16is5_3*0AyWVv~(4c_!_|>*IAmW4z z8~08yN_>!EK4c7KXr#*YJ&84q)ynEuKlGp< zR0hUoC*b>HkZ_h4`G+zcC?LpmirKxInEV*V{IkWnuyD`avt(>*=~O!^6xEKIRu;y4 zQXJP}7pz6flfJlnj zSP>!vFf@r*PoEA55DF(|8PG4ZBAJ1nV~m4BjERj085{JE&?_K6_go=0=|ndl@0ePY z9ds^hLm)GQzvQC>J_~!I2BQ|2e52tYN#E?01X`pn*tPu9hm-ggPME~>%hP|wIS3RT zHCEs`hv;sYn;!v>u6@Y)hIo{EZNYCD7R;m4ZF-Ji_~8n&k1qy0OJHa}j+P^C_j-b)ZQ`=Kx%FwHy^RAhqA=puPa zW7>!lQL;cQ3-?TEM}m=3p<++Kr3e3wQ zyIz(cF4&(-t^MsRookb%Apk}dq1viZ@vHKjbdw5qX4E?DmWSho;EV<`2f+@1 zX!FG2hNN36=i;e;-_4qxAio5_$o-eE7e-ysxxQ`~sFkNX5Nx(5KB9ZXF}G^L@cpCX zbpAD*VQE~<^iP0zQ7`nnck4TnI(^;dol=3DnZyajd^VD^ivuD1?Q22mq#;QAEWG*n z^vtJxOXZiS5P;b=;iQ~ z?I`lALTbN!Z?II6DKyagqt9#$VeN3_Fp_@G7}D!5fA;eJ?~*!w8+(YD(P;pb!OgaGj-@IL2B`fv#3 z1Nk3&<2=Ak?h@M(#AS<5UU|f=65;QFGN)gW4BS!+gHC_S!9JnU?9B6D^L8EY-i4WP z9YSm5X_w#BR_E=IGg&~Oq4wrxr^dZ{PXad9VRsUFc0zKh@)pMJ;5LjGw#xwTB}GZi zsz}YgJxE>8IG6-GDG~_70N(3%rp=$;5)u3Ikwbr>n*PYyKIgfJn(!scXj)Bkcum(>luKm(Y>}@(Mp!syRnuV_wvt^TTJzSi+xym zoxe_dNpVmM7wT;x5RxT5n!tqo2;{l@s2u9enJzuEfqQ3|5c29*m*!@^UQIP`4BHDW z9R?47D7l<%;)9H?Q{Stm>%j!dv+t9rTpoR)kK5fbB1VOaUD{65`Ak)v^2gC}K|cQul+L%fjD$JaOXjGbHf{$P8^zx1gxeQ8p`;!wSGsCw!5{wv4!xlVrDcR0n9 zCrWnxpYQA)rQwp}3q**H2AiX0Pk?Xn+@>cJ=YWtgg*G(IibYozpm*`2#@*(@)*TJq zi_scu?=G+2A7^v%1b0{w(~s=zf1?7e*WNg>Q@=Pqq1#Es{9I@nvI=Hg4`uu$KwOE6 z6VOAD0X+v}@%5b8qh`5ncw)LFsnppMnr~oyw_Xli9r}!-xFmlSU-}v(K zCZ?Xf6HT*2t(aFhN-h0*Ky6dG4Yq~VIPmVC!xk6@k)*l}Ba&5k zr*Zee*2ZAvn|rTace$GYa)DAL+if}yPXbOclhNq3{Z+7ufMJ|60=OeKEbF8ZLf&_; z;RB%YpfSKZrsd=?`K>H8B1X(W??`PAwh*LNryCy12CPq&b2)olL15bmZyvnL7Ls3# zED#sN`zp?AN!lRZ0kqofp$bo*qg3`uZC^A2qpbu7$Q;nsjZP6YSEy@#fI;#9Js6AG z_;09_l#+7BZtuACzVWB)tKR!+8IIqanlWu)Y3A?|lyLnMh=4PIRWI}zo-8=Q;tj5Y zsas*iY-5>K!fiBIjw|p+ZWRmA&b)?oVo#rA!w%Ak>qMN{C~oXE6nA?K7YmTC7EKHz zff_>ZD|6gMSCfvbq~r7zy&PVQeL7hX#9G`{f=GJ121NL(yl0<&JC|+uFUiZzr;OSC z-K~vLwtT9L-}IY3=bn_8vkj;C2y01<@Ls%hDGd~SLSSmZ`o0)m^OhLCho>Ak2tQsH zw$+0#;xt`HXZiQfr-vu)*kIpyFd>P$+CC|BHfo;g3w9JY&if*4RLqE1)&*_Ruk<`h z_<^Fj=_Vb=9ZcbVWWUHcBKUp<5jyepmE*NY*ZT+U+bA zsO1_;tAd$TVuF!teiZlGH@HzuLa7lK@loXSQO!Le=9jUW0}q6~z`6io2+3#*>unwH z#mUHvM{Xm?$Z?(T&b2|a)I8etWjRJ)Fl4S>mNP5G`v^7U2IU^J{ba-*d0Fokvkr59 zp2g*#Xu|96>^jJ1hjU(q_5JgOGOe}GMYgQaBY68_gLwY^q^xaX5{dLyc=^LAs=EQJ zZ#vBn)JSs29%1%G{Vz%duJ||GWd8lQ^{LF|>Pxd44%Br@29Nd@f}`xnPIU1 zKe2im`4WtS#&RlhehgNaH-wlR>S;3jfFa+0D2LL^%a;k7o#l{T3|@HtnQ93ps%8_4 z-ZC3KVtlcssJm|W1F9RJ#caJwXOYtW3?j;>0MM4 zM6-ak6JnZYgiC~O?#bU{Ml{+VzD38SUXW9Zq4?jshOhgoW}7j?LR40Oe)v;`hr{2g zeokt7W0LuI8EMc@(j&qIh)U|Q zZnfi|r=dR)6cIv?J2oHi5R*vZqQ5;dio6vPvK4`dK4>~T<}&fjgyR*j)~Eeb%?&$c zBhX~B0q3}8?t_#P^V=&@vw93c<>H@PIz4X_ zfHdy?IS#8J1hN-G`HhP!h$^+zifi9->&tkJ=kG^Xr;$0RD-83MYoDoRT>pc3{+$57 z0w@orcPD$!YJ(djKL7awgPWQEl9k4zV_Q&zV-rzZ77ouJG?3)fe?Do;8jRSmcU4S% zpZLdj<8~LGamr8LW=|cAM##&PpUV9c^a6 z@MkLW)c0CycEc~raS;+)Z{KEM{fUc}6J!x6WkLAbd?u7hvBrPxys7E;KU=^XqZVu0 zsh_S9FKwnk4$jLL?=-JKLyk{y@l{g2hT_GSzpoQ9J#vwAnL)zPtwvh5kw)3H1;0JC`B*j1f%(%Kf^_oKn~{qYoLBeb|_Vamx_n& zw=bmu;kyCZ+mtz@ncam^xcZ+34of42+&s(k>DmR|y_ia^Ow|N%D}d_{QaMS&l}xR$ zjs51kN=LI_Ok-`-i82;B^m7pMyyve=VIBPY+j(vVwd;Nq`TP;;y1IXnq%O~FV1J8V zdCMHZc4GUP5XQRnXqhk|HdH>o_UO;^m1erS<4_xH*(Gh)BSw9H>W#u{WT-G7Uces= z8YC$~$8cd!GNP5$FQHW6T|rz=Dl03$cCC1!md-&N`pt7N@=y1t?Ng7`GV;9Utij(v zvAz{g_%>y%5se#l_Ws7=s7BJsS3lUCP|%=?6;IZ}EIu|N!4kRuw#_2qKDH`0(&}95 zhTuQi-m+eR%JLJ9dP^#_OMf1rQ#_CWjb&9Ev5RN(x+->$d6SW)$D!jsIK!P?V0X2%^eSG11 z@Fe!<+k?@;2o0F0Gc#^Q;Q&(fErHz1-PGc-dS#NKJLvROxhTvL>xZe6jDJ`~AirMv zJKDtn7J8^T>Gs?ccSG~;;e^H4Z4{?6W1JSCgq$MB--yB>uwt^Df!e5{HcAe9+pjA) z2RdW>ZRvd=-{0v!-f3uh(XOw2Z;1I5Txg97y)!!3ZWcBhqL}V`+cJAt;ogJ6`RrWD3DC9?e&wH+Xs^8kn?%n*Y}q7khv8^9p*oTf2x$+;(knv zdfLs`9NDPB?Srl1E32e{R|KJz)kzP?eEqn+Q$991~Nek)v}%Lx}U^eT}~brX9ANi=>yAXS)V<9P@YMEm$~V< zbByei>oVo=rrypiQ&_P7&}M8D?^NGIZ3tvwK3d#45(_d@JlL8PwK33cx4h3l#UaL! zLHuf4+#;$Y*^t+{t$EN1m}L-OF%*2r_*gzqv#*<*olNS}qGb!Yi9P8lWqPME>8kSJ z`>&7s)K*#NxoL0vF zt}Q-yPjoZGz{u?4Q4zyA5w^xPSg{3lJ<>5O-8e1DYml;fHLFM#pRU5YeQl_D+USk& zW|HV-IRh}^q}iE_MrPMj!6{M41U7|8J76B&JU0qj#G=TnhJRx~a4e@v2cw$78#1!_ zI=LN*pvj&#Blbg2k(}C;`bd97d>x0knQPRb7D|wIl;cUVk`brIXdtcP>#)VUQyrUvpw^pJU7Tn~t)7={0pWv^j9ULuJ0MD5;WBE^XKH+MZtu)K^s&m)PQeD%Szo2{H zQ)>M=7rj|#2~_^S7C=$h@nol^AinYM*toc=q_XIrKYwoExW&^EN29sulhQx^=|vVc zioPP+ReCC7FspVgIS{8{9d3x!^yoCv6&ebGT4a&O@s>5B#D2@r)H1 zm$$~@Xtd18K7|N;n<^ugp#*7x3zJ^_4~N1L^JE&y?$GN`10OrMw;{kvgGwf zG~x!V!7j+l*GXQ;)bKjlcW-#!I}2kp*wI#>=`1=5uRbu-2A>yjgQcAR!8++WscCN- z`MYq~&n%1!Md!pj#fSG;8TfZvG9lEovz0qi-YA_({j3ot15?! zo^m?5?G%kvTz-`$t^7Y3oN{%#sXH?^92?-v)c-G&G^#z-Q6}U04697fyJC2bZF6%NPm-FoI2y$%ONGERxuPy%uMOa^u z1B~3Ne4rdy5DP301urn?Jci=I1YqKWg3j-mjhBS6Tnnm$e8&GG94oYd5Lr}KHXyHmD)&01H>FsJu{Z$ueVg4mAE}fa)a#J0jI~qM zFOce2t2GNo#m5T}^wFa91LjusFWmht=Y(tu~!*CPAipn*(kyQz_p zWbD!S>Q-kxdHI{oQ;Rh?llNtaIXe`bjf6x^+Tq79K!>6-CUeGaEWnn7D=@&j>id^8 zvP>h%5_C&exK@3cTwD=3%6Kb0?cXzC5|O`les3l!%46PAjQhHR^8}4C#krKtsqkB- z0hM`(`9qPd!&1NuYDuk+m-CDA@}}Paa4{Hg?0z-o{Q{i$Em*TMw_Vl)p3hIBCQu*~ z6ZH)ZukYM?OPcVFpT7P#^stgRo;{<_URj)dy%e3Yusm~0d$)i1S^gctMO+vzKRn3J zv_Y{hoX4TFnoI@6t`r=_L;2C!xB z;;PV)y=`qhF6n&#O!UzZ72Y7Y8=%m{=FZr%Wy|4cPTBRhNf*3D&?DW{!nVPQK)pAV zmD)~&-zL-VF>;@(R~hw`@;?Ix{m*d;Y>Jvj3xgCD-c6TYydDHDy2{7LxAWJ~kR}e* z?%vC|)rZ>rdx-^1sEqJ^ePj#2!SneN z(Mns2BibhMqzbX|oxWiFdE$`S?c2A9K{l4rhhQ{5HI=j2@D&!32qw$Vk=zeoRZ=Z} z=YRhEc}H^?bDRp|`lhR+Qy^u@sTms^D>da_;ShF~2mD&bL|16|^5(fuf^MT)adv6>&4ha@@J!bD_;{v8 z?<)JYC8dPcE(sE2l#q~+-|5%UKQX6@`_k35hUKR8Oy_)Y_r$^~m}{pk#|3!Jnz!LI zA3(ML8*h*@GViSiezt$zBZ4PQfS8^rgf|JwCyP%+;!ToG(O`zZ(KHh40WV`1! z8*>;q=xG!Cac}Nr+W1s`94h$xA1sEZ7XKEOosGBpQ;s9fXM)>@D-Kt%KWEYbb9b!* ze&(6V$HhBPHv-+(CMGf7cjpBXf?1Sq*Ou}es`-w)73Fjcn4p&8!~O7k={8CcA2ud@ z3_JQ|{D4__<0fq)q=4QHqNarDbE)lm_f2x2J-ecWAS#XO+U!_U4yL1NT=bh^ae}Xb zF;g4d)>f`}JX4j%!R=Y(?H6?2irR;h9+V{`aUDm7`F2s_qmxXkgNbz+WvmLEmnxvv z+^R8;%K7Lw0jbBH??(pIi;s<6l}7wK$$Rx6qiEAQ%-pd?UO=#NRXyhK-OdqW!+@yx zuo>{T=Q{yPl{{Ke6X56fs($k1M!<*6kq`F>| zk^0WbSd2&HnajbfRk_|*u)4a+kHAAmoYs1DYzPOwQbe1$=z|_HHIme$?W)U)ii#>I zV+U@O^qLjv3xa)XQ zb@5?o@nPkQ$3%uJD;h0EFgo5(vJ5Y1bx9_N`GCRd42-If>9>ASS&&N`N5HC+51H6A z_S!VwDmv3fHY}}M!lhddk5DxRrXOFN+cug`2jIM5z6TwJK#By0ebdv(2V6}~8HJx7 z#;Pa~JO~?L14Bda*Oak|7Lrjec{}8k8xT#TUa@~sTIyNUXTJf78-38n8Y}`U>m^VN za$kS7%>`?e-g0~!VPt082?PyH3UufN#0pH{vUo}6_jlXl?Fnr#yAAD*)T2FYRl z+HmUUW3y3LiH!5)XNPv*eSngpSi&XX8vC@rHok*a(`*egK`(&TOHJJj1YX`6y4^us zBpk>6o+Q~m;#$3%p7#1a%Va+pGxBSD1FT4D0=b=$k}<=DFLvU@Q3zx*?c$%M4`7UEZo{6WSZ-t zgG`)B>FWBB3ViGN*CF0TXw~YVr$2c&tsAGB9{&gq>W;t~XybamZ|V~RaWhk})x{$J zVI65j_@H#qX7MlAU%P`}EZ?LbsxR0Cg%v89zMFEj>{VAl=FEX4crQHe(eprUf)K6_ zTZQjuX2p$IiNrj8!7s2^^hI+4zKe%#&g;`gHZ~(rKU6=pVM9szb8hK9=O@JPgO=kj zuu>iLJQ0X_^^7!Iob{?)lTIrbN9Y;2;NZt(48W!;di=v#*(3S_=QJXzyhionq( z8KkguoSjhL(a|w*-1>bUSP`0FbUwtkqFUZ?B!b^n3g+B7w1;2e_mSN3G2W%RYsA$M z)Op2`AMR$jopfv=Gp}2RW^uXz1KzqB8DwmBZq5g@r*r&>tD-*1@pm?vDyX!9!P$zR z(1FHsf~_t5SA0y&ZU^dp&U7fTPI&Mwfg}FCeMyP@vE*wtoBPDT>({`l5W=M~sH>}o zUX6<^FnndUEhrEnSr@mX23z(!9$pPEGyP|$wy7?+sygdJFUbDthv(MHu*7Wuzumnl zcX|Kts!Us%?Q6p<1e;?`-K~%3COD$A&WMtXGi`b-Bl4ZKi9X?Jp5@uu7tU(G#32>h znw_S5Y0deER~Hd}Qh~|7BT0t1_?HN_?uFs#0oWXzOq!tH`W$>eN?d$=b&A)jN_D%L zAel@uf?Z4&Vu-6ZLga*qYKL=pV-VC?Pt8dp$C~P6cz00Ts#q<@tzUFqhr3bl0j5v^ zb|yW?HZ!Y;WH1dwd3SI7FZ;U8RjkCPog?gQj9WJoQp_WgF=PqJ&PFQCcf=g4;X?DA z6K>JQi`?AYUyoPBhsQ{k2N0qSFiz^jB+yUa*m$*w95#m<^D1P2paS-H+n&@DC9!M6 zXXy+|TnijRP9`zt6n?c$#lE-tknE%0VcW*U9n7o{wSCiYB^O477(5SRxaX^b7r3nK zA8&hjv|;Oyn2W+Al3$0A75gy@a0XYose4}K`)ulu#T5$F5Xgf;3ELSMHej!`m7pJb zCA%dR#N*q|gCoZ0&x=-|zS4wU)kt}D-|*bNi2SjG_f;aB2Twl*FzQfrz3V#lu-BC0 zueJ$SpY8pI+F*KzrQjd;ij7B!{L`jrLTX9-jrH~a6@9R#N&cAS0T0nee=*SkjR)6&v*;%L*%T(4xXUUkX5?&ncy$tv$J=2d3mWPYh?+L7-dB06(r{W>}@+u-5Vt~oxeEe1|reou^6G`@Xa@CRj~{fsPb@>RKMi7ME_7b&t97^dhWw7PkjYQy>_`v8nrh&n=~BK~sf) zDEmTYK)~mO6RRc3vPRv5+}cDExS%^PMYWm{#^Jv!Hy|X#`%lfRtVLt=CaVK^)Im#I zeed0O5Nc{L?>r8=;X!=+3;QgqXyrX&a;DUKL`W$4KzO54NW(xVv}fu&8vf`Zktl;n z(=HmZzjGeZ`UL|$Q97{Pl6SVXLyU$lEY=f88-@)=g~df(^S&))Za-Pa(P-%wwrBU^@tfK|Lg)^~kV^<`5H z&ZQ{jaI=)ma=KNW-l@=hY2va1qjM>s#Pev3ybv1ZN)Jfj(t7z}Gx`rt+ZHdaXl4}4 z=FsZ(dHp^2Y-N7K%Uhaso5T@gh0TO#bZ&6`V+fb4+~O)2&c(cSOj7TlI&~7=jD$0% zz1GX+!Pq-l#ltW!nMrwl>ViyzDWr7Cru$gMS(Y%7H^`Z=2h61!tHA8B1RF?(URG%> z{s!|9x8{O`6R5ZLHJ`nFTL0LwW6HH}>an0N?WCtR{`4vD8uw}0KKlq#(utl1Ht}JN z&40L8;}!E!PmW}-Wd6-P)10_v4NEj=&^aANQr7;ZsWac`a5N*X9mEami7f!ZMDKSY5)NS%f`v zUZOO4?l(eTJ`o?Ne3?>1*?h_+Ql_B}&jMF~2PMWz4>mH0?xwhmQ?ci^IX^MZ+TV~5 z=4%x{>aEtzvTiG5PY6WHyEI!C^l)*8{R1OD97=)~p~I3Pu?#zLDTZ@@pyq@@n9&}MbTJ=%vqU!>m#-rVl78FB%Z)+;=Y70IC06175sbDulTUW}X5R2&Cr^%kT-oYWafX77@>Gt32FynavzSWoQte zDwQU4Y5A=qPe@pb80&sK#(zhG#Hd{3EU4*dfVZSdBj*bFt@rO-z1-g|XN5IKWL}tU z%gwBl3AgL>AMuBNu)mWkq%tbUA(5J`9}*iJ_3RK9ehPX9d5)p`BgUNC20L*uD%RTC zB*gXK#Gel)tEc=kzHQ<)3k9*mm{Vwf&x9v*p{RzBJX{f;4yvMEB6CDddxKo$hn@|~ zwO;0sr%zI9JnL$Lv6oxBxkTJWb6#yX%@U7kkW~6lk8O<4dW!TQy3#&QDh_RJ0wTLF zrK8*zZ%|;=RN3UaO^fx<7U_XCA;Tdf#%J-CHVZC=W1M%!E3C6W=MI--t6M)YZi*AY zZP*XePtVD*?CvpHo5(0M9Y~$l#C`@5{7+|C&9tD0yEpdth_*o`?Jjl>*8^lDs22zl zQv*@@OM6xsJ_al#_GgtABP&5_b=*buZG-fqb5-X*eqDWRlpc^T;Jgk`%)tL*DCM_1 z0grABe)EBf{)IbDwp%SJHTllh06M?m*kK9qBMbV+p0*b}c&))-K3Np8 z>-i0E0uShkH9a1>PLtShj$JE4B49!H*gr9{EL@rJyN9Kr>0Haq^&F@gtlQj9- zuSGo$M^QXX(MGQ{$Chqx{==`y^=vSiC4WhhrEq0R4a5D}qNjx;_5wtd{$M4c|a7;lx>%(K!=To+muT^{|)6 z3LnIwP}cFeY@IMq+UfO@nhn{mhX#^^8Mye*YkySryJDuRLNy(*D0pR|CV2Gq%o`kV z%K2j=dvV3~!2?h?{*WXqJ^%Uby5VHET1t(;2c?(vN(!aTZPD9hLxx!)kHwheuStrz z_JU`x+`Xx_-dBuHtv3C8k2`*=3WwYkmTlLIZY}%L*%fOfvplMHUovLI560iJ>^O7g z%>6#6P0RskCdAd%SH(--Di#=f*%mC%+)O)k82BdBoS!-XDaX}uD#1bTYd@{ut;>lU zM6iz?E`_3RoMboBKFKg;^A@K8MirPhm+d$zZ)0RWv${um2t7M>$88K#L+z(&HP zT*NWOsNT;iQuEr0M@D({I5e=6DB$imd6s9a*s^MQywF>Pwbl1`emIgIFh6xAD0ON$lXpGQy<;fs=r$+`p|KdjV!;K?EzBJ4;*OMT_k7e* z#Aa7d7bMntI5JkEUvohi1E)*!yCf81|6TLTk*k&7w2@))h}Mnf*8ZNx(l-35^0TLg z1TT0PFXMLG1T=D*O|ji`a_x05mSj0r@oak!imemEf}vVlcR@x@_=KxUCx&gZ+BG!E0AnQ*-*>SyBO z9IH=Dhz$;KgUppasi|ui?JGQB#2cc%Rk}4pIWq3)n^DpfrQS$``4c8cwx(g#Z4U1h ztvSH0eRQ&D)m0v2QIAi(k+H%D@EEW}4El7eJv7uDm#WFVC7|P*0ndJ>68c=%6O0R{ zBHG$1q0iNp@A&&hev)x3*QiF&Xl;=o!vJrdTSU0?wUFEl1-hagmG%rGpZe>V?S$p> zkE&8f=*E;@xU`+`HCWa8eIWJiz@3NefoYsZ7lz$VOb++Y_U<0z{r>T|db4Q7Qfkun zbKXq#Z6*zkmTWx*JvN6dRpw2yf=lW04y=y*glFRCtMOA6op8zcOJ{UuJg+X@x&N8Y zxb#w>7let4aI380z2W!84)JOWyEV##Udpm>+pj}}YXCSo+3jV13tcjN> z_xRk-1m_|iTqYk|dAF^YwW3^-DRl1VqzJbHBAI1LP0XhIpT%70 zx$M%fs&1=v6g0Vj-Q=G81m~yD%boptB=qCM)!sI?OlH0h`PlwZ&prxp z8Tv;@Tc3)a^A?j0seVZyx)jxD=-y^4>|fJpKk{E9>mbr4sHd?h^n`Y+T> z@S_MQ>i6q?G!1gcEifMWB5t=S={4k6m|sA7)a@Td-PNybo%a;( zUUOF5cc_DT?&)d{BgNI5Q>@-O*XQo?1uX?;N5y1|bzV_Zw_v~x*zx%CRNU{ppfhE)QyyeGR$y+>9}``zay*dgj;E z!Udbt3gcP|N3X}4OQ*EQ{=TdJkIny2gE^j%Aj0CK4jeri1|*3PhV|)<4S1V6gFzI8 zhT(eFlOQoMVa_4-g+I%vw0rkn9^VwFyZKee6C)YIRcrheE6m5eWaY+?CR@d^xNBhq zHTh~)fBdzce^zDTkKwF$ZlNl9Us7%w&G>jd@+pmwwcDk0#p&}wyHe8 z9lU$|IIR0hq`4o&HQv=XpXH|*4oK`$_+-fV$V{YaL_g0)Ku4j~kkO$(+p0ds@{#!} zCik1;ov)8S&^T3|u>Ao8^(KpcM6E|)znZs<*||)KBjs%(3r};l+1v<}@^vCT!{K(lQTdw`6^jxRKh&7YdOB!&|RJ-B(>^zq~FZQ9<$aXNBf zmVxU*&CK>MaDtj;z$?V`@MMYyAERa^PEhhxDQch}Fe>$w?aDG?Nooo;$k=sb%qDvi zD}7K^n!seVfFg5>ss}vSI@s2*DpH@dBKRL5lF%NKkfAz>X*=1tw&u3N=%>B6nb*9D3|7G`ttbBh@-Yrmk z$y3`e8-MZ_&=sgpXUx>Q3j6ye-4uIZKHy=Sw$e#T%4&o_TjwsBI#DsnJu_ppv(Ye@#p1QZ^dL`1>DU zmck%qRzjEKpLi}?Fi4g8-x@KYe}3vo;x7+VLL+OUej!G7%hqCaBZQht1^kcEHsW(*+;c0T$zzJ9#l?6W zOgxgdOIbifqu;(tw}BK5l3wfRpZ!@E>Akuq+2_N3CzY5y$*l*^NSf#bt4c;t5sg&X zMgKChD4}zuxu^DU?0XpSY}+F){#?J(@T665qfWx@>>YR7nMZGUYnmT0)-Ie_cBlL} z&Wl4ji;CIv0xx>)QPg1Rs_GF|&Vo_q+=BIiQCo**TBdK= zh&_-yS0WaoUpn~4G)1FMiSJOCozasup&~2T9`!tUK2TZM$F}oeRv9zP`mxPdy6x`$ z8VlI-B6Z;)XaADJZw_D8C_TdM<8dVSte3D?lQhdAPJ*ep>xe35XSrV}HI12C+3zkbIg=%Sn1^Yt3E`i(spNJnxUBO8tDiMxxlk zC%@Ww;-<>l?T6_o{?_X7!{2_tc;Myx;jaI0joI|!nu6it^&#td0vat0=PRVy;MVPG3kuf3`kz1hdQhft6PdnT;RaqZQDY)^*CLOx4Qi%h+vk z4TdzjDL-}wiuw2B!Rt=!+{PnQmEtQe8cm(qbllfWloD%MWA%McU+$k^Gk)J=8ysfD zFA6w!(Nlh2`Af#szeIT~e7)p#$Lqzn{ca4q6ee{GUUDc(3B!XyBy8+pZ)6SanGrvu zLsPP{cmhP4Az zOqz^_w1;b~O)?Cfg}B<<{Gal4r>1heeISHP7JmKcxTN?|?(ZKPH$MG%r|apr--Q=z zILsfpG>rO!rv0+|lO7skW?{^+Vc11u85E@e$*|v(nUkIYsD- zQLe#QzhncsyY5Gex#2I(Z8!LPg_#}R{&LHGlM&3sdi&t2bmqTa3xAG$yeXJvkoNXT zO5{*<0o_$LF{`xvwSnPphij&zS_E4slAox2T_)5vROaD5G{sD5=$Bt}IMK9Sm|4um zqVl`axnB+|wDHjB)=#Y`Plx33&q$Yx+a5h-}3>{p5H!|=a-XJkxQF;U6t&o4Aj z$lSAU&Gp&Cak{8B-kyzW79QR^!luS z#YHYEN8Q|7sQ;Qx@PG}S)t5i*?(ffqZPW5&(u>b^G;!sy%iLuwr{EuWyPXpVJCPGn zm4u3TvRQa;W+;XV=-9V)X}*l|4ZGE{+rnYbNR(^xmd_dAL98(I`EF{XDnLoof+{82 zk44PEG&#d0EOpcNB}cUr7rNr(hu;1;ztie-z*3EjFJ#X&%y{bdulv_B zt*NmkKI_SSu^(f%EoF>TIJ}+b&u+l2C8@Z7JwM(49jkgacG4GTsY;8D*&=@D?~v@@vITrpDqnJ3ye zFC9&G)-tBH^@B1!aHdNx9z{SYqE+LYkpLDQqjkezkP1w#jJ;`HR6)@>gBR)++^Hh zCANIX?sBSd7b~SS9?9^#Q_jYd?xFHRa(8!DdD--lgDDxU5{dWeP9_h(=yi6l>)r2B z)vLI5$Q=JoYVoWoFDhc%!*W4DWpawk%u~MS`Kc8y|NfkfJs4Q%L|qj4)LngQgssP@9bJO+9weAF?;}V7O>wUN4&4ZL*vv)fmylFmZU_$mTeXO z{)ACXH6D8n)k`EmHRY{5DNVN3SXx}1Qd0v9lVR!uE&lV0L=pN9s5ap}$RoT>>`6I9 zLWZMH_!ehI_j;M_G3GNuFxk65$N zcegKmT@~5&$NQAkoh!SYU(*|i zTs*ULJ-5+ceahsBcH+KTG5MKbRpyw!NRvIDR_~`Z#~xlG+x#jh0{R`(-y{JuD|JS|n`RiS^lS7vS=jT=|Z=97sduzMzJ-2VPM%}{N5{G(? zzEw(-^+8-ma-yxe`Br2Ye&(U%zJFU`^wX59w_(V8{KoF&v$IFUoHS0|xs5h^jpA!W z#hLHY(_`Q8(r;7kNa`{nHkE_QPF7Mxdi|_saF6$uWK2WbWahX<(6?btc-M27f zwq~qtDE=3}N#T;Q!iN`kE`f0`m8D;Q zn#X&jTs3+AuIwkj?>-!5=Oo_WyevF8Hj{ni-MM2M#9kLWx$mk|qEt=NJUpTFub476%B4_tfuX_JZqe{F4VHy zQLAW4*HFv;!xpcv@r&i}>TPV?uM~MGe8nV*u*o|ng^WJP0=GPY0}W@e(qFWcZUF6aFQjtOpoXojPLGoi`WGTD$&&2(*8bm4z2ZZ*%Q+?$cv9CHbWqq;|T|)9m?TVGdQqxj$JFA(u&u?d~ zdG7M@m?(!zGiS)spI@>qrZ`ueE~>F~(cl(v66s(s_c1>6UQEjK=$hOUUcziq!ER|| z@_c(spDf)cJiOEK1iiTodzC%UnWo~ipW3wP+{KnFPu4HfyhvYNbGKOjX$6CBO1Hs& zxmGUE4|n_eD${Dm33ey`7Ah`@2Tqeog=iGu-{plOM_CI*7?csa;+tW!k>To3y^jsOgF z??K5&G&1M60jCYmz85ka0K8e6V~Z^c+Y0J-Racu@43j5?knk11z-ZQ8izz zRe8rrXZqG;Ksx@i>>a`VcLd%rF}}L|%WA!j!Ly5^rda{2C3V(l{OFAl(%8Ah;wYn> zct+jPL_@}OW~FZj430evWJ4FAfEqe>LMtQMX)R~v8u|x;j#~%c7^Vc&Ja7HQzl8m* zNxa1B7YE0_4|mqLsyvrxNUyYbvZQ{>qQ2QCE|!zC@&lLQw&sJg%LAUiifg3_fBx{c zy_YBOmyq>6$JVwxS3{gq4h9xKr!HBJ9$B$<1CPra#-HQ&D!X>?-oA;RE5emOx%wuJ zQFzY?5-WPsiaJWLS@r=ce5%xmF_WPyBI+)ioUpgZ;!k%98e0F=Nvj;Vtxsfu5*`;2PJ zK(Yk?Ud~OQT#GCDcSv&Ed$L zOy4qw_+yRt^HJ+oTwLot)HSfzs`l}-8>8{;K7pN`_C0mi%{KYole?CDo73vcJH@H1 z$G)6vuUpaLGoRTxpI=m5bY5fvuq-Wv{(X7I1~@&vj321)dY2p5c+zp>8|@?IokoxK z`R`K&#rc~hR>*}KE_*%GU$ybz*!}w+tLw#I{ocKwDWzxhxnW&Vk@eo3gmU|vXBvA_ zro6k(ocN|#UNP?Y)zN%7w%sE|@XpIk+TT(*2x5#`^(z$0I)hmrt~2triL*D(buNyZ zS?dk*Wx5$I+Kv`+w+q+u1Me?!v-a@((-sK?$(1$3_dtm78UC&Afp)9oq*rR6fy3i2 zIsOpIe`>|-;yqBEz);5Bl*L+x#wSFF4<@PX(k!IXyn7 z<>Jc)tN9{6rY+TDrohy-h}>2~tAn@>v?O#tjGA&f8`4;|LBW?Ujm=pD0|$Kp(J}pw})Ng5f~wefUs6j zFeW?^{dNBmaT%7Gdhnj?>cw($)4X{%1L_+o1JhXT>uMoh^S8*H%dwJnCh|CYl7bA{lSggY&%nP2N85&}_5Quo-7lm+b=JfsszQ;ckPn!6R=&v%)poe`(EM0H+x_<-gv9biEz%-FxZ2YfxfA$)M*kWm!t+4t+)5 zxazC|h#e#~Vt?`RY+o=D`N@^h$wSbC9z@+kJxmM11MaFHQ74RloP`Ul0OhMD+W$?Z z(kjn|YTev)Mr^5VyyO}E4u0!KP7Qd&1~j+HaU_YcTK#sVav*|m!mt!{h(D>nXQRO4 zsJLJ*geCWW2=wLbvA?<)Z=Ls~(NxOJaw=&R)mC}Dh^iVNT+45*wnDO)HadHL!ZPwq zb1`dWifLi$I~MNb6S;J;hIQrU-mc*q7vydA|M~l$q1dU@UlwK~81Oef8vNEA0lzfN zBRc)F?spGsM1x5Evc6B0-+)(~Ro`3-25{fKAqRBx2QR!D6J8tDNiR_lU9>8c24vT* zyJ2+b&`%e{DM*0!d+>j6?gg#?YHDhKtsKWiE4QUC`v2ksM!RQj&kiLIRa$jr{`6rf z={GM?mo(8RSUJIC&5`W(sboIl_x-U7nadg-kH@uVYvedYG%lxvr@w^2Y2V@3pYiHW zWI=F_^XOsn|3;J5dnMc=Z-Hh7M!`Sue>RoAia)=bsjR_K$s`rVsw*lB|Jmtnp%@Lb z3RztL$*YW3*lc4B;?kX%4nR(%W#s+YGyB$FQ>&C7RZBdj*|+KQFMjJb23kd@4_mE~ zN~wc7Kc!V6$-073Tt+Y6mcQ0-)#=@zG|ZP6@ZNavU^%7F*?HlFa{=q=6NT-tQ&mJ)1}SIV(}$ycmk!>QoE{9b7r*TM(F&Vj70|dW~QI1CWaPwQQwsJql3L$Fph( zYoPKamCVh5eDFn$QF|}#%Af)|D~fJ6wEx24Sma)9vz%WK8j=>C(GLvAZ-U-Z?K6Q}+$(kz3=Am&=jCot*sS;@v6vB%!zg4Ofi8 zh7V+HLKdH8HSHwfzU?)*Vhx$yK@r1}SNUptJ044q&Z$Srr?@pgI`S}CSMnx^`>A)j z@$bvL+DM}RyWKY+BApfVO1sUwC6wp1$ZnG_)1nKa2qoWqmZOp_Uj~wl7fMtqU5G4S z1d0xjB$aLNW)Pm17ARh^)oZUl<3d}O(2FBx!gCguU>tiSxh*1lK&3nZnkPGSBE@&? zR| zglvT*VaOe%dL0T2`kbbVlc!t>J*PPH&jv>Ekhxtjsk8>MZtE8P9h(KU)kKx+*xHZZYg}qOV}}AT5dt!lXQTNEZ-i_<*~`vc;OH#E*kUg^q1Dc z8$7FQI0F+;eQ-RzQLKXBdi|2DT@&=TTm0<8Sj~Lsp z&<5{p|NhkN<*KDJQEq;EKEI_fZa#J`juR%|plDU#ULEW@HB5mMrV^3F!f^fu&3>Y=b-3L;ld6uW|V`TK0>KAx&Gimb4=Y( z1^!8e=t`_O1u3PRFU=B!RiK=-RS|xF`QOmacuAM$25B#hc_PU6Kg@Wyw-RhvN7X0dSJnSnjJWLw))ew=4)loXQ%~l% zabN1xjla^|_iy%@u@_j~CR9JnQ#j$oo0MS6Nr7z>Q3SVyWVh`m9gjEmd>pRC1rD&} zZ-FcfU#I%;$<-3QgK*B_tWU+llc=)DeS7N3@9UfG6_#j}sOBS?yJ4CUG4SrV1fFj6m5yGk{qy8>9gHJ-1S^8!-?hQTUf=1<+!z%-#WkwC(bCsyhxWO zE=*Q=n*|>laWSHOFK~hqj#t}W$-+9a$|^6du(0b<|M6{^(YN73grB;HV9swhYE(vZ zdnds4gBt`H8C-&I+btOOK^K@BI6EIJ$@bs@lmH)q5!b>khUMXF_RQ#>Mc3@{UuB87 z{&;JPs2i~m`j6*u!!!!Kcj?&$SzT6|4Ji;&MfWoXV9Ew|bcRnm8`Lh|>k0j$Me<6P_6} zUmzaJFOy%*5dT70;=~+~w&{Yk{|vZ@-{IFlc(vC~yu+I(9&@m55OP%H85Q1lmuJJ# z>=*Ed$aCmXC}{lbKA7y*TqWZ5j;@v;F?o-_&gb_|5GO;JCa_SS-sDLT#0-{s75y<= zPIrOW39~8|sL#56q2D4VhWuMSWvGEy8**L{i#iRlSktSS?;qk+f}fUv%IGbacK~7e ze6{Bn3bXE<(`I5Ju{%JogizI?|CtDe!|2Rm8s=bc3N+cw=kpq3n_*Pqz7C2oerrJW zggk;O2Tvc!-QV#6GvM=ppLmBvoHx(TZzb=LF<5hFhCxWRM?Xp*RIyc0v z$2o4-TPW-Edz{$IAbA^uXBA=2#+0oq{pY7r<^ATo@&3zu+*xY*(cQ^3L>VV3E^N}P zHz?d`;BYVoi-GrNlQwHTI=}0hU*PufJ#}G@rQl>sE$pNt;TgD$IsgYO*friND|^Qw zyh59fdhFCEh|M7J&Ie>YIPp$`luEojV0{5UD8d|yW&fgJqIu$2EokDoxP`1i0l=!L zN+P(2!-$)CQ(lk54CXjZ*V=INSLRgAtKRgVKU?;*eGhSXvDEWmM@xp)k42vB<^p_0 zVcfIyMrxATO-{Rx(aWi+G2Q0=BHzlVMZcDeZNw?Jwkk`e0jnBWUrtA%f$@f?h-Fc) zP!=o%S8)g^Fj8dngh!W1?m)f}dp7^~G*xMTHzgEXUfOp?dX4pVrnwe$SOUbQ432#( zC{Uy1yuQ9$8+fAd)vMHR4$~98EBPXru#&LWG|!xohkH$AvD@e%@%Y;|{Vw>ygZ1Qe z%R1uFTeuX?i&9_9ruLDXB5cZw@;3{p;7L3?@d3m|#ax!Zrl!XB*#wks-g5@;tWgyN?-su3_tzNC4zWcaGLbRV}aq!utwM**YnR732csQ@&SmKe2wK!qDd zo+nJ}DM|(Qf2h`q%>=DEU|0mdLney&S`ptlC{&mzLJ7i9CW*2BM!ResHQBmj88JP} z?Qy`C2Tk(cYT|!J_*md;y?1zxkL^tSP-KiBvl7!Q)H<(E)j-2V?hLUJL?sHDGa+{o zr)uYle|(V`9DWExcvV>OH|z@*FbyJ)ELiB1FzvL2-h}wCz()X1K-V^zN0U{aot8%B8+>z(?^z)r07w9X7AZW(|4!C0vR!Q2iQ8?H!j*{|w!;wdFMNeEm z?#9Lfir>eE1cv)VTfy=}FVJ)-#ND`#7>6#|__Xr)0$FLqWvhCrGO<0{ulAi0_ZWlw zn?7IGm0{RLW;tyDneC7@m?eQJBR=y?gZX?As7G|vX8r1S#ctC#76*qRq^w;Y=EkWP z56G&q!o$@0kd$al23#*yK7{a4)YNE;4k~_q{iP#KNh}m1S`kyCQ;@L|D_rLIQ!Z5S zaMqP$0Y%FT(bZI0S7;z~!HQ3eGlJCor56_8ret z!Dpl+=8#%APVs=}!6?)%<$r`-AWh?x*K;+&8Hqbg9sy zCtbPkbZw0K{6&mbpcyIuc`*6x$PI8IDv5d9$(v`H0jnq8xiJ0lBt?hC`nSpv83nOm5a!+!}Hz-Oh7n_fRqh_>saiZYuZutmh-Ma=|^963-;`&dG6i6uSv|`?i)%`m3TznXP%%%$%h`04yg)e8M?62 ztP<+=R>bHP%)v>!8h&|fa4rsHV4ZuRKn@T4PVI;@!oRm+L=Ee6NWHotc3}g z-H2cd4iQiaUxq|hC>B_@93X%Sso7+T+o~6w(@hN=jU_d$^iSdl5D?kZ43HU! zbGkILyOU|_A>+^l1`WHW#v?<-*&oFh3&kOW^-eG(>2jT84>s2*lvlp>inM;|3TtNh-GtWrTMuw4&#d1uUoM= zly);&L~8PCU%7T|5C&vhJ-@!QzoXZ9bDI#ZxyC8m$3O3Jz@wd_2!%F+Pd4cFDQy%c zNSaxOgNk_3!SIF_%N~-nWK!{jr*tp2H9X727USb@XsedMlL~2lM#ZjckbDyfdyaDE zNl;=l39ui3S!Hx&VjAQGx&$+yb=k!ug4mjvbIN|O$Fh3@v?Zoh1y2+dqm+d_$t6j;B+fDKPOGxet6 zWDG6Y$XIp26o$EGXFskWo@q~izdgOUp%C7H?c0c$8ytD|4&vviWqe3UQdrv!=)jIeN$ZqKUSsl+YB`J)h+**K)7_jnGvGz0gW(00hFy%9Ym)N^x}|#$!m&M) z9ehNITr_P1v4;Gfze^}I06TKb%5k_=8fF~MG+d6~in15}cAM>DaWMp=5<51yHOt$# zODY~lK9~iRrc{7pvkC)#lHuTIe%+?s323y6bH*=iM-QH0ki($mssX+2Drk3;C^`2} z2QD5nd?y+O@+YgWtU~>Q^D|9+Mm>K8S^TOr13|F&y0L^%dD6 zpW1In^k~)d@4z?E2U7TkDAZ+$NX2u+ZF;*4$K%RkPSv69*c-e1wtkFZ@rwEpG75|R9XptuI7Q`sgIE*wk=>U>9 z9hQ}S!czAd# znj~YZU3&O1iQpy1$8sE~=`ieJq^FzO@^brVMwFx;4u-1y6AhKLWpLj5ifVM>Z1^@U z-F=Iwg9e@RR6CEhjRHq?*+joEa4FESZ}hZR-Ivs}U2@V#H>*5LqCsJC#^^i2U(2s( z0u)a1?#AY|dfdF)@;a8-G4hNa^$aDP=y`Pa!2^N4>_HYI!(U3A?kHv6PQ5$s#;3g; zmk%AR90%41)MnSdFxo-?744gNM_e!pe?0XL3!!dkMAdGj_|(_rn6&_dU2W2?Fr&gg zcz6W>xV9aKU)u)PJa&KRY#Uq49}Hu}T^Y9PE1z}gu|U)B8MnJscU8wTdUgE{C>*hT z$qMESoA#Nz)Oo1tbERUF9EaOk&)kT}(RH)QORG_hBBMwL8}3a(GmiU?yH+npfCa7^ z-r;2A8IO+pLN~_JZj6SbyJ7r)pQz|^TDUu3kCix5TbHngo9(X*Pkb;z8d!j;k0e*i zaTIiLiAzq)6Cq){e=T|?)g)}jxr-_qcxtXcd>A**z|fEZz=9@lkbPW?a_0zT>_Jx%2^gePy#`z%7sAsY1DOWXYvj#C;6=}AIyhkPg(m}gO<#=Y5^TBwYcLZ? zM0~p6-`_c@>Gv|d_FM2s8h`ch_L10SqSr!eS^98S++CU)fFmidv91XQBx^=Icp%zLlOAvsIB3YGh*T;5 zCyI|5lim13s~9!ypvcY<-hR$|24or2SkoA;c%e^8z%UJKHoClx95nhAkkjXTd445Cdr}9}8Xo;<>0cPWrAeRM!_LfV01gufwqVpN4{@^k< zl5-UbJ=K(`=<3hz^CNXHeb6(r?sNFI}Jkrr__7+`tMqbLqrv& z|NMh%Qpc|4AtnPxskhr)_2O}DSboCQ6oWi0L+kvlH<>xKP1N@blECSLRfhrezpY){yZa#qFy=*&~V}% zk3FllVy{ACEy^MVB? z6>8I@*=wUkA~3bEUCBTZllw(5b$(_D=jXCh2jU`dm!Q*UIR}emX&IRp#Cqf2iEr_H zHflOLLN}3B^j-@^4SYju`8ZR4x>u7#8L8BB@ura|@~cOu$nb31_qkQx07MiofVL1n z{twTWYw4kYLwjOOguVzFK1?-=F|34(z z@99Kgubj_MI})Nmz}CUbaF zU4d~?XgEoB0m&7RtIf4*TkY^BSKO`f7%b;@<(zT*OcyVS>B03`3|}$c#p4v!j7T-> zkFTySzAW%Sil3dGbW~^HVz~g&@k_CDvMyxIU!5W%I< zu^E4ZjRqq`D`1hN;UqfNW*TV~nrXne@ZyM?$wTbbn+Z>X%v{>}+`)9Br$B0-+V`Ew z=qW8CZ>aO5uK`+&I}=Rej~cGYV$uw6KlEu>wZQU#SwVF4A98gsCx@gjfjhGch}IOX z@fzn-)Kizfd^rV=L0I6MRo=gQGhBQ}D4~LWdi`)Epkc};b3N+5N5)UsNmvUuH;aOv z#`7=`$B&WB91MSUply~1h{zaRwX5nB08|LyF={FG`o>Jnef6rSCJ-K8f3R$-xf;0% zuwjn|!jl2XrCK!dd5D@E)(o2HK;Q_8qO>Ow5q?0e;onG}40qK$&k1Al;6m&MC-x{> z+io=2GyVa_vl>=dvr{P1TqwT@@M8Xff%(G4yzX-D_5xcdN@6TGd7z38}C zpT|>71b{1JWMJm~fvF6zanuhjgg2j4o2+EOO(df!AlToLV{(Iz_h8^$ir4!~fRq3# zlB^jXseL3#0z!Z}IufIZu?s;au+Gk*PX_L=?dI7L0jwbmWo!ANk&eN7wZ`#JVtz#Z zW-Q6f}2@MJO_~o>BUb%xG_XxLfE8|10H6Vu;Z+8QKiUD!Mx@q#$z;~cu|EC ztn_H0Q4Ekpi7ioWs!@@Eu?Nh}mt{7u5#|iO>*}yl);I)vJhzaNgIv+&goLm>>$<@^ zNlwoZI^@!A>a`^?D@LAKlWQ@{0MH6S5*jd527VVsi2D@Tl1W}5fO?>Qk@C3fSkk!h zI2G|;Ndy8=N>Bjhiavh#mV>__nU>jd{zS+_G!Cml!0R=b4Usg{8^88re^dL}x&y@k z$yv?=l3gJ4PtX)065i;;;p7#HGhzuD91x_PgtJir@IBy4IPS_u^p^K$W0 ziUeAbC?z=PFGEZTY|v*qQs)_|MO**bi6X<@4B|S0=II_CpR)8*ugizo*GdzMX((^$cW3L&!+k0fi3P zpWu^^QwT2J$|PF`K*kw>q1G5QkZJ%h(qi-u5Nn)VJH@y_A12QZBDR|>2qWPN6drS7+E$A_sL9u`h zS8+j<=9*b-o@snlB90aQkA}=-QzdyEaE%9$Q;ARqj)Vp#40~6adDG=Q`@0^yHqbgq z6!EsnHzPA(>5+%8)d~>>LZX&JQ7*uUwv*lwd#(G@TK-d~Ph&blg2!%DIlU$K3C~(m z)mPB+U9jdDD6-alzZSC)+C;i^RF_1E@HSG{URc6NKhxVkA4&!jq;h-q@3)EAja`A* zn3gBIk?jT#BIyQ@#BqXL0Fs5`QY&zhqF@HJ6u3N6F)0nat{h?;7QcbShICiV!^;^= z<+2~IHc$bE`)Ev?%71dN-FrO%Xy9^@t)7^xSCSzdPk8| zDGYx>m{e3Y%WcUK)T1*4Ow+`G+FndnUZgR=8jGxd$KJ%0dbvuyljYO3$wnBH? z!rYWMu7f;^9gM0Kxggxfkryxd0n-r8&4P$`1x1e;cbis*2lXl5DIJ9m^894#dFIkS zC((ykXzSNMM|t2yHcJ(3K6KOv7k*5r?38XJ<9-N401eDrsWcp-F={_pvn%F(NSYki z!QzLw{UoFf_%WG_g7DCD&Itn>I?5ZTVjJeLWtfNCa-q_2CjUpWT7dI*-Sbe+%KtIS zTpum6Rq2$-K1B`&jL$Lk@J1L2MUmtTY+8ZP!U>W*m$!wEODJzVphy#Aqiy-iNa3R< z!?qo1T+7mUst$4Gc*}+>+-l;kkRj*f<;m z7_z)}p_b;i2Fz0xvFX`GGMO&w^Aw`UKp*>{Vh55lbylHowf}&FVE$K*Ke?b)5S>-> zSCiZ)62F`5hFr|+XD_4JFe7FBDtUtmiAK zfbej3vZW&%M1kLWa`xX0icLC-l$zlyHprdsk|Dhd4s9v`;g`6i5}P*V7%a)@od`vg zvXXwN+k5E>Z}XJ#c6HVE-eN?o5V9{kDgb0#ciuD5_@dK=DX!2HJAkbsn3lt0x|#@Y z1}{m`-|vF8NaFINa4agax%M7Z}5VIJOBZ*#EkJVTU z5okFDFg9NodrBMzex!(Q#d=2dhqcK~+*sznEzB>&J-pC6u}fb+ie~c~4}A>zF`l)1 zE74tqqs64|Q)(vI|1r0w4-7cFVS$LSDKhOt<%qIWdFqHxv@jb?zTgr`22kX{J$%&k z0;a$eEGDk?aHnuOZPq{Cc?ukVv#`$~EcsRRH~!g%)q#8wl~J51nElyuy+%WtsR(&8 z48KW3)Orb1JvV-9)bV80D0+5ydD+ZhgbI@CntqU(I~fQe?Fx`NHBfaIuC zSRtl1L_jVJB{;S{4U|qD0;nl~;r~axz^}$pex`m+0!emL(usO`<^6VRhl-$^?kVS^ zXd4<_FCQ6f{0qaF#A^Ov%-e9%W0r{eViKj;5R7*e#()bvYWs`F!Qrec-&Mp#R?q5G#v6x0S-iP&tgC6ejY$V>s|htaglM@nk)vC&*t^;l2DpL>&4 zmP1AnihLlHvCf5ph3E*#VMzA28|8gFZgG)h&Rshmq_{k1BZcu={X`q5(AnlX z%)1eC^r@|S?`fiNUTlDMnSAkqLM-_SF9>ZUA!&fGm4>iIa6w1BtLk8HUofdrqTZN_yw2&J`&S6b=rAd!iiBX2 z`j?Cb-5h#*3Qk&s9fC%mm>(%0iUprpFJ}cBWNXZ> zj2Q@_gHc_vgMaAkDwBAJU^isC8vCl_JPy#N%AaU&6->Bwq69rEHBHG=3DAl>7}r(K ztR_b$X+)9uO-F(}3*KXZixh}3pj@2P^JbsZl+7imMMtM5<$gj8@@?9%Z$U+`Vwo4J zbn?nLra;B?M*ZIOVT!~Xfz(b5#~ssMaDNryodO z9o8M`uLvVD8-dk?>_CpU_x=m#Vf*$0AH9xjDV$ycD<;J`C}@;p|2M;!la*p@+dk#V-OtHh5^)~U}g zhZ|KXZLM?3nq#o?zQ+0*rb z0xlLEb=2(a8fH%6i!kbz&h6OT#PLkgIZxRuI@GfAqidjiD5$8Gc$!JSMqoKbJ9P}6 z&vYF-c?)|o#>ZO>`D`1nw|(;PL0;G-3ij(ea&L!ygtIeAL`B%z@LGE*U8Y31wc=Ql zGwFomElEK|xGg8x$Sh{Q6I;N&l&ft;&qJ;s6#!n(VxA!st^~XV^8xho0kjr!MJax= z3OZrHZ*uhi{=1aKm68%^MG#3zrCVu`kOlz}kW@sv zq@+tkT2#6OrQwd{dEe)rFZbL1KYlr!&1Ubl=9+Vi@vDDCnak(M#1gsA0cYzLP(b{0 z1BA4nSzH}!Bj9w2c_2Xo;c+5vOo-nP!XiVpLPHRPSW7Ird>na19@m}G$Qc@+^8A4b zI|mM9WZD-PDuF?1ER6SFmes>Z3$_<-|=uXgMApkt+4UjPq9JzpV!U3SGONOLt zBBcjRmVvy3%+XtZ^L!~MnJzM>uQiWopm_oVg@0@a=tMgKu!gA}^2$utE;^W;Aj1!2 z7zu?c)M3c!8!gBPcMD1Obp=M*%d7pMH-~wz3Lu)UgA&Uu*RBmY1ewE}@AvQDF39SD zsbb8apx+Ju)<86<^?o5k5;%X6VAFP(!{Gh%lN*K&8aS5)z3V?fJ6(2H>=gVg)Zl=J zV*$AgaM@m7!bA8oNbb95Lz6~%>FbBEGa?K#XkP_rg+l@$yAaql|I$-|)e6m?pu<-I zn23Nods=rE5J(ZO4>FrUno|Id0eXj<8RQm=G;$pgBoXE7q$(qfN65WEI2QmOz+Hgv zQ3)eNX!Bv-hK)APAt%K`T2C%vV-*HX_^*vQ`1^e3c~e}Fa% zDkQLF1NTfAsDei>brcL00tLUk`6JO#6H_SZUWh@ik(gUzpYP@>&WL2*0jJ%RKb20r zXy{r~d3?Gs5?Gg~)6@Svrs#F12q7d0vLvLdrnxy0AHW6~W+{LRn9B#pyKqI^lrghE z*ZnMB>>X7wKK8~^__{3dV5+ zAYUzB)p`KuY*0Eqv-p|m17-}pZW!dj$q85*P%r=;v(z3Kwym8eBg7s!NP;Pf0If1` zK0qu1^)h%k=dRZunOhc zLAM}kBqvj?_+Yk4hIzHRe@|Wbx<2(^SN>H;+iOE9b*c(hIQRD=u?|w)nuk2neX{;~Zmdq)2f!J;N58#k z9IgLN_3vKVL+7E*xfJ%LKmCo@t16BkP7U?V#rgzTP(We%&ky{6#i|IwLSa=Ul<{cA z?WTGx(7@suS#aCuw#Ud3Cb|SChZ=Ii5?e+aFcfRa!Hj+b1?+UkH1>O_ox6M~mP_=Z z@uu>%7P!4=5DyXP)|@7oG6})*Akg=!$jSwTmsRHG91N=A+y|fF7D!gOHh@5(|AZuJ zlr%J{a6sq4whH?Rl5FYbYXmNY;0KRvsnDJSS*E0<12NIH0ZIjrlANrZEZW!HsEdN;TDen7yOy&gj`@VoPzxanU2Q@#z>%H2){%4LW z^1Jxp6EOu+Zh3pO(W9v}3Wa!fhGoY3axM;y-OF><9@dbOz>xU5T@)KwTN}hDUQvm@Gpf@jvmZgBs zIE05CA_ANc6~xO}k@zb8$uoqY0g(=wZUS9j;Z)q{MHI-7?f!xD@S6XpwD|uA!{UE$ zJ^Y>jrE5H1ppa&K z5-s@G7vi)`Kmh?R)j?H1h-&`hPmU5G%N(LwhKh z11~|nAYAb8!GAL@>>8;c!-Y38u?b8hS_Pt8z%oDlzh3~94A}vJXy9Zda;5;p zLYcC&7WA_w5V^vCqvlZWzyCjutiCH6y<-)~`yZgxARHGiW50J(l#smvUm_9)>Vr@8DLV(i zCch8$a;)S03sn9iAAkc^VMXgmdylP2EKZ#K-Uf5#9u_KlzVCp$SGRZ@ovM`>RSGIk z?uJ3l?;8x|DB9 z=y$-EJgpD3$5iqW{Wgbn{>aVw%sBlLijQ(VoL>N2PeAoA;VN`zn6U#_NDM@sBFMMi#)a@3zvITyf0O%;NU-QeOZ#&$iRNbl zY_9x74i8WX{Swlpw4zm|Y;}YLj1dY`V6Q;N(a9%kIk6x3!Ki;RS_KfP;@JN-KpbS; z1os?10A)>pkr)FZv0yb~s{18C+4E0Ihh)8Y12@HQ>JRY;sKxgI9);9`pL_-{pwL>Z z47Gak-7-4ZOws?5Bn_#dqYjbOhA5e7lpV-@>mY(tV+hnPLo}UAi0D!5PT3b*Oa)Sq zMZ+Jej%Z|8tAGpuvq`XXhWaywA$+&N;v4x>0l3y5Ky++nHW>jX;|6IqkY>E-;;jWA zL{2DG8?q!L`1(kSg1z19AV{L5WZh48{zw1u$)lG1ddT*=fu*1WKk1&bX~AC3yp<)H z3~RaLvG!|7preEM%P0sBhScZ~pjcCjX23IzUVuJlDew%c!aOiLgz5?6MyNp@ZR$B2 zg2YjFIEb#F1mAb2!0#R|8qmrdwqlU{G12x=SHby~qQ6f)Yc8vO$LnvR#`R4Me=(zU z77|GDBAW3dI%woz%GS2wK}-##xk$dg`G@J)P?|tCaNE)@Y~?p0Eg5PqD5P)UBoffx zD}oQ&HBi!01(!M#<_sqn0IU0a219(Ne*Oy)@PT6ou63W~$rS$k`P4!1@!>_4(cTly z8A{E>J!e7ahctob(N!-3*0cLy0?Ne`Sq(*#JNQ3Cs&FtExBpQs?)kyZr9n>Efrzvq zG=X67$<@H@2sR@mD$Q)ubDE9+D}hQA8cj|mt=~6ioR?@TjWuR zi5v*^89~MR{)+|a5pV-OYd)k>{!xMc|2-`0?;F1@N??Den6UQ)n7&a29CR%_pSTg< zHx`l$3}K3YJb1z#Il3(1@%^I-r3#!d$WR;f8y0N)xoXEyJ%ag89Ft5dGFWrOTA0}o z8*8&n_(`KOb%%R}h*s*9VPC?NAXh`)6wRG%0lk$@WQ0*itEdg5{R3X0@4liQlM1)ZKe{Je*gnjK+%yA zO})n>KuJ+{Net78EA?B@3N}FuOs3ffF&y$8Ci3ViI{@ikakm_48*~}Bfb|Q&-rx?u zwyOD8b4(a;J;1nk?w5YXoAU6#3XA9Yz?QfSWvq2SUeri=CAm&nZ`4)bmC~Y<8VNKm z^AfJVCnHjTy1^QVq>>G56`c~>Tzx|D@qm~tbTUku7~>Y(-rl}{Qeb5NDI}!_7+RLm zB2t9LZp1#VKH`F1rkQS_(k8aXox0Lx{L7~^%k2D->!7o)2c6%y4aJIkAGq#%fYkr_ zb$J@s2SXDs>i*n@wrI_mIHwtuxPM3MVpYdr;>*Xbk@YvkKvSiH z^6hGCc=rUR)=DK2DWUz;Wsb>J^2~D7(;9v?;X%|wFfi2^2QU@lFA?Jad%w^B5nQBS z*SqkmX_zoyu`u>n0dEC}sz!;RJtbZ;);1F|iU;Z1iit6n*(9oR37`!pdtG)86*!UL z+1<|EtAmFh{FeAcR=fP?|BA<}?`@+Vpv1pEFE1%yPfB`ElIaHA1xPAUgde1YaoqmZ@+RWGfu({*p&OeaVJ)%e&YIrl= z^2Ji+-SKfdLh!G#74UvbCa|)wkPQk*ThHWtT}iXby6uDh_0Wm>ZOXAGGp^Cvt#=h_ zX(R{_l@v1%C~V(+LfV_l!+x{^mGo^3jH8{eLF|yW=Tyc0m0pXq6B+xj{%T#I)jN3r zBSDM)46*H8>_!;!9MeDysZqJ<9pnI?-#7Dsx#IjM+VyxCyFpr2=H`1KeuK6;1coX3 zpy%|3ZWyLHVAt_(*$J$35gjY&TVZyntE-C!6p)75>}-ktw|#o==E1cL50MSPFah{i z@TArsEQ2!=B(;{So@mRBvYSB*4Fva>(=hXZS%ia7KUBFu{sCwRCWvA~g}@J$IO}A? zMMoslCA{ut7Lp)%3lZh~19L=4`INHM&wSBVSx_ny()&Z?K#*lF>-To;hI)m-*APFG z26{5>L2GwX#I-Kuvc_>hFbkqMRlC^%ELb}jf5FEY86E~u|DKjKGCxFQl%U-Qg6v77 z7ECQH5unWDRW8eu{5y#p2wVb+rCa41@EU~a*S!?P*9VKVkvb(c6^Mvie@wVF^xjRj zo5qk-|I1|q1q(0g*;@Vi58x67WS^CWeFVwsI>Zn%)Rzay!nZj)ZoEX7lc@woN&J+U zR(7BP8U~SB=EhmNeRVgH*i0ZyARo|l{CvC!bM9^`Bhw%R>xCvAMr$w;NVCmugHfgP zm(Bl>LO|9#yJ8Av1arQ7w-D?8UlGU1F9JV+2XAEeqqCel%eifhwDiW1l5o*|a!CXaE2fC2u3{Huuv zp}C1LJ-YS(RZ>p~#n4?>-54txDhB1y@ge~J)3(?4;B>lMX1G4~irX5bZx2ds${|(^ zk)Z5?HZepn9KreFrgj3F>jL1)%lSQE${|#`jp{ynGw@4OWg%_7T00XAbF1_|W*96Z z)2W5bd+<$PN_lO2eZBw?A`g(xmlAHA2v^7L$^URXMd}s#$cczjjP2?%y};QXm7d0=|aLw`s|SlJZ>?v{Q#3A3Na3i81&&+uhg* z-{q?5O}I!-`Ia;&Kq#%Wu#=kkg}T}<32LKI7yBB@3i=3)fIu^i{4k>;0qqBH-Jlv7 z5&hsa8;N|p-WmbW_rR<^raTN7Y2dWxAUOFtpAM^0EpXqFy1?Y21q^Qy8Uq5r!>ITE zSBG9%@*(LxLPX4~AE)k?1)LiMR6`+?VbJtkM?pZ8arJ#X_)I~t8G%l?Wu$)fwCMe# ziYDs+5u-cEA~Xd^M^pWS$lVo45%wXUMe~ew*M90}*!=KOh#-_9`O+$sy;INt=Uj>W zUDs(%XG0ra^3Y^!R+1%@QHwFqLN`ek>c|>c1O6H}2z{@&j9uS*IQDdZ1u|%>Jk|{n zE>I)2Ko{&$vXJzEY6ak8U_sb#lA`!MUcr|8AOMLVS+9R$b_~OIs}BoXnLY*1<7k3KMqPc*f@|JKwf(^Pz%?fZW6ZiI`+}@j^FyqK-hg z-w5&uhAZhmf%6Qxgc?z6PnNTAP{tru``|ET?a57ni3a}BXnGdB$jX_V&gh?hxVqFU z3U1x*YvZ7khjU{(0DB-rM>xumt#bH%hvdY80`RCjJ)u?x3HndBJ1Il4;Ij0^ zNhz`5wYJXfx`2vk(%*8$CG~PSUIJYoOG;o9kGDC(1g5nKV2|mT%~y}oeGs}4l%=RZ zWZwb4hPoLSLX`wuNFk9U6V%{1zFET_O&V(v@F{?$1-r0wkkfqs%+Edm z%#MFqY`MS=%~y?4go+CFPyP!4VZKEnG+aRT5Tw`!g(3l>ToXc0Is}te$10}7Fcj+p z84>=kec}EAk5_h}+XiVCenE2&%oPF1gWf~-;uO3FT!G-62avx+npD%Z;=LG_w0s|= z$Dnz|?a$x$dj+a8Kt)!f49DJ|J*=799%4gG{UfX8at>6393*#=IeH0wVeC|)RIU3e zuWtKmN^Wj03MR@pC|@Ag0Bs_ILjx*51cV09y?U?hSV#~MUQ3$I>|Y<93tj@<2aq{I zeg=XtgaY!brn0e-ao%^2D1JlP0ek+(WbZQyV*Bq%_U1VZ>ir;^`W9dxjt z0Q?rQe@6uZ8?pOli+Ex|vo{WuFup7rqqfvhHtzK*V%LXn)%f03(ai*hvB}MKG)vV7 z=hwku@)d)mxMix%(aFY;mm3MH+ZM+)EvTZ?`bkYe!4Dr)YVn%vNzJ7ypo3LVfB@I{ zy&W0A`sZ>!%a@o`CeC)Zv!>?sG;l8m?sHzERNnaNU(w9Vt%rrCGD1U!7qlUep+m_K z$&IT{LFS{N5^s&6yqXnij=nn8EOq_hpnEGe;}$wzD5<6F(DgW><-v`O2fwnnGl2jG z-D)yd{-3Q`2I{^enh)S*<_(}E0yp+FL%V#d@HA>@Sqa)GM6AlPLID5SSlHE0TM4BN z16TaiWk{%(HNmfn~b`xCS?zja1{P>qHHY*+=9gV$&suL7ALb_<9}<;j%vzz4Pm z&-KqB5=BOub)o&h;I$N{ud?Z*hUiG8{E>w(cb%^a_HNp@E$;>CeVertK98`u1D{T> z>3R&r&K_2M{vKCMZaTdyE&-76{BL90>^t%RAI? zk=nyBTR1et-F^{jWlQs%yzXfwY4^1PVQJt(=zA!W6w3@#cfyG1g+E#<-&y?3rjbbN z%Oeqx#2@_`*F20qk~^}ek3hc(p9n|Avmoz%xK8sZP{1k!F8mSsJMQc2NnGFB=>#lM zvS<6Z`*Y-&p=87v+JmZkd>INj@SW&=NYrw1E(HmSKNyPv46vxl(%kv^T`8i&HDYM_ z#Gq(Qp=d0b{0tc5i5vmCx+4Y9AL%YnXKF16Uy%*%Qr|gzZ`@FXVYs~(D$*d8(s0)J z?)vYn2Z42r+pYNcM$Cj(@|Sz>nwm<>{yyS=M4_^4e23?LUMD4ohNKCk)kbqiE-AjK zD;H7LRW>!v%>075Hs62n;Ilu_v8X>ThBNSUd*9-uKv<0MgWl#bKrz( z1-A^piE>LNTo}Q=E-I>c2aPL?p8`OASV152I&_EVd=f(J=fT!xJIDD2$7*SHrbUe+ zx2Zpo|F=nExs6A0%m+0c+9%%@m(Lt`_kunc*IcNceyq6U|8vgq!9wKR-WqaEBoLSIFc} z&xb>io(C0!F0w(R0zuz$2^b8`mXa93%Vd~nued+wkV!aDf_GGJF*z?a#5AODwnusSMvT;+Mqm6n#{2VX52aA7 zTb%2XKpU;fuB9;On2Y|X$q6NMI_|>iX9NBHpYr2mBh{GwD4_xE0D>RDV| zH@H@fe006{gw1%R?a$LD++>Oy>gw{)z5H+kl$Ze~kyrO#4rjO=PH#c$@eGK8%+M+& z*Md|9K;5LCC8DzOUk4{QJnrY=VI0;bqLDIwL8N-Gb6^4wWnDxgvw|F%=1*KX&Kd2{PnA&BLXo}$ zPG3F#s|eW##$>r;tDpD7AgnrZjGmOQ@CkSorK}TB*;50U)zY!(9j|w+T2Lm<%jbQK zMtbO?*L5aNddT8XWJ@ws4?jjVL^XyUzAL!V%9l_lAmoG($0Gzh3=-+U!$WVViIFZEj;zOJjRgf9@V|u}zLJ`hQNya;-Q9f_ z6B7o=d++^3Il1?OgczWk5~fdxj}LtFhWm+e4;bsi6a%4iz?%b=I0`rhP&s`jTY&dg zR#9PPWnE0C*97xW3+-&_BPUchyQ2tkGV0A~&pWlnNtsK#Bimj}F(Majk(**cHp(mG zvTtRFsh!LHX$b;a2-dy46t#2-bARJtmVYKGQj3ElW>MMvRpNAMu8S2DRFxUPQmmj> zp)bd3_tSwV&r&o45NvRTPI&neu?dBJ4Orj+aSA)nF+!gJ!O05He*ddQO!+0yGvnhS z>o@q*C*?c)oLpRe{!n43?%c@JXoWp%5?Ot;F{*d=fvR*?ea#o>XJk}V2IbcXX=d?_ zvY|Jxv4dXx%9Cu8n}j7OqX6+u@&$1xiVlHUdSSajVEK)I%_9UNFf78KLJw<9UJg6} zw*aIHD#mJ?p$3Y;io2wpF#S;wJjcMvA;nbSZ@m=xOEVE%Ebn}WAqeP`KKm^YVTWX1 z`w0v?+~CF@tY8T+Q3JM5tEGT^nPFeT?66)3{NTRO#ERI%WX&*eR>}u`6s#cPp}isH z4|T>MZk3Dq(s*;|$3ocMfZ#|flnK#xeki&<^B~oy#ncc-*9C`5;(jKfMJiR%nCSQk zLh%KyB4mnIqfdtm3`Msd)?ui|&@ekTUh*0GqVnSK9j)652}`bg=U6EvWx1BVKcj>Z z4uR-A5jIoA{I;_Y49DIj4DeG!yYyD8C}W_SuikT$_h3^b%Y~UTeUb$$zY%&uSYj!a z32l}J3~(XtG={UaE_S~h_@#GV!e^Y4+~;AKe65jQr&#~-XZAwj`Q<&4G+Do=3ilh< z6YO{1;HO4{wS=AUv**uws#gCz1&{{FWKho~tK;CxyhjeEIV~)Oz~1|cH*ZFt21dkM z-T5#ss3$PozdE@p=S@>Z;#O3R{YH=o7ErX29-Q$%A{ zvYm^6#ooU7!f;nxIJmDUEHXhyOp@7*;r{owFL!tC8d`R|yJHTuGXjS5cm0KEiqGE^+duO9u>+f61wb)LUv@q^*?NX@RSyWPv@*<~M=5;DHr z+rM;0@Kv|HyOu0rnWlU~cc8RU?xT-&ZLHEWR_rW-n(jsli;`SFLfCFkd|uzXvd*Qr z+4rqo?%lsnAJ0(?9o`)vYTaXVoVXQ+Rnf6{7^ZhT1QVT-_r`A~>@UGH(cJ<9stMT) zv*L)?E>)%z2gG@(gq?{G89OHlzbv0&zUYeb&pK}n%$Ur7GU{q)EVnR;@)uFAAig0w z7c23I`O`tbpHFRLe-ao2sN)WdLs)0Oc%7> z*?Iu`$*fS0sn9*K_kQ};u6K#d)0;dV><)k51he41d(YvpqRESE1#VIdMVyN?WS@O^b>n6n6w`+MnOBEPalh%U7bZ z@o??_vc(zcyg@Dc^9tb?=aOo0U(g2mbl-(Sr+PifkXcXQoB71`QHJz^U#p?<3JKxA z-&8JOEnw*Ulw)KqfIT}nevJfAS2OO7J}*2+JQ9-l%VEGi_LUW9jMXX5?C%jZ!m^i( zeK6Ts-=CuMj;-HB4BKlu@LQEcpplUieQ-Ke-t8l+Veh=#C$5bwOax-{;mjA!Se#81 zO7$1PlDb$rRcxZnkAgSVGSJqpn0kkySz1+X)mlsMx9h(5J|&eT98{jPx?^|riFHPN zfs_-Zf(^F`l>@Hy&NVqX%>D}P<3TsNwI~r*N~BBq4n)f^p6>g}>y^ihg@uXb4Bc9f zb{3x@ps@xLGKz5G@<(r(LoojFeU$E+z4ufx1A(#78 zu4AurBJ3KPP@T8m=BWp-)a?u4DO--siHAt3UTUjtp=Ph;CbhIQM%N5#Qfqv9JR%Y8 zw|p`FDOFegC{k=Sjm5PJUarfO**q#YSS;y=3KI$? z+71Enr~BNvtdT1Q+*o+!?er#$niZ2FddFri@mh;dX&S+b$!zs;-MKJ*4468R0K$)k zIVo%;YID1E0(MVOCE}1UeOl~@0Kq*=i2JGisJJZ}dJxoJgCqk0h(?BH`AfDhgEmQl;hebJd{ZW+QZhs5sdHrLJH%6e>Sf z;oA=8X-xs1P>Wso)u@bx&D8Z=S2LpXxozxEx!S`*LBcqfFnYCF@q{af+OePBzza+W zO1~T*96^eD_5h=p0=J%q3NJI_a{MVJ3x^BwErByuTEFniJ9nyYu;D(lC16lb#oa6l z8VU=;igs9-uV1LKN1xQhqW@}Zg|WZm2eXvy z%xxbmWX__C+?BLuh@@`$x~`_2vEgmIi6MT6GAg`E!uxN^gr&O3Ygn$(-t>@LeK#2?QUCyB<0}+rnlPA><<2x_HAmW z?SAK{9({W;+v!20PyzLK`_crr{;227nPGi51W)s5_t&hjXUMtH9GJ5^@+f4bzjj1( zF-4-8lIPl1?(9jwo%*g^s%laooAFHMWaeA2wNATQ~FZ@}{@# zo$jljbhf*i+P<4=>~yL%`pD@Y))YTsKa0+iPC8m2iM1`8Kk_x$X37L)M~{!9EG$$x zwKBiT7mW?Dg-X+!a40CSLPto7*#_>|r$C-?b#B~Mok||O7SzY@eTPhi`1;&YnOLOs zQ}-K#iaF&iXw3wCO6F*71SqW>>e{(V=8H*34F4%l3jc5O#3spswha{uvxja$y)F}B zVV%ns%lSi}TAvNL#dTVLd5~_g;(ASI^1~FFJp3^tYxm zr?vB}zGrt=-&YwEL^TqXExJd3JV|5!`cW!g(x@dCn@bC)zW?ulyKzgdAjdV8vW5f2 zq(C2Mp~Y?z1`M{&1U?cc?|l{&@}v^`PcRY^95zuBF@K92lR)2UnTk$k7FL{V;w8` z-Tjf)JG&)~^fAPl$DmB*@wiR7J_5ImyRg(dd!FIpTVDQ#1%s2yXEHT`)2JORBC8VfP~AMX)hI(l;~uh#|;@4w2)CP`3ly&S4}9NQ9A8z;XP!1O+ zGX?m~qw~yYG}d$MNI7JCVbptGMs>1e7XYkDN=9ZPk)mWK2iO$rx7)Dgg9*=}{F8Q$3!qNC>2 zP%!}`KQ#^>5E1jY^V1NTvWlDE6=LgrgjJL8Hk30M7)fBRiKnc&GjsKkPM>}#-6Lk@ z?6K(Vn1iJ};tW(ka>}->+z6j)v|JEL%K#4QbWfMUeZv~lLsNaF2^Qn!mX0Q|w4J3H z2K0_IKD-}G;h2_<^kZF@l-6);t%L-6OhyRt%81142cE0OF``iYH_D={@*iPfq5D2z zGm&*AjnF<4SQ{~JJa~Y?VuZ3bpxx;w^KC346{``nToN0oTFGZ5?8SXHZ87Hpikx71DEs8e{Th0wHC}B_6{!s0lvk z4=DMGRd!SX_Zb(5c%0~&3YRnBWQ$}Bbn@`?qA0~b z_;a?LnXQ=>7{Ojk5izb~w>Vqbt$yR!Rvo;g3C{W69pmZkOW7nzU*&IpPd*-n5q0J> zbLdfu(Lmy}n8fY5>2a@5R_rD=HcpC|Qr2rphl{=-;t-7F)d#T}?F1bZsB4{zd?e{| z{b8EX#u@KA}u(x;Hv+uO45 z?ca39-XuAmAq%5o7U{aDB(&RE|2@#yd`3JzJnvqmUjs$JmW$Pa6-grq%G|8C$(&gp zH@u>IU&T8!dO>>rbyacGm`ba{>A6RMq|5ROyS%#Hyp!%I9e>yr4)3CyX?lIjdj==L zx9~;Cdo2YhW0>Wd-OH1MquIRCqM2k&GUDR}g7uC=xg!TIYY0&&CY`=nf4NYg^4#hr zdbpM6vsZ^?Lwi@?prM*z^=_Hr1*HP-2tKsh;*x2VuqyM=cDC+DksP5HFLj%Q))y#^Y>QIij{Iu8%3(NNnhdN@Ob zH&DMZTR&lz@KZ)XOr>mQFNKSmExUi(BrS`4*NJU{;00sjdF#81F+tK(%3yUXQ33It zhu1koczC?$>0X46z~yJ|ET4sVqXkk_YpzZ12=)~g9r>cmI`1%%TY6v9FObxoIq7*! zgW1P-QFzR^-bVe1AjvggzdD*-66XKqh26`7wv;&f{OMsnM%hgaF+Q{x7R4AN{ND@d zigvdmr9NUTqQlIVic+09DaNF_EcM2^Nk&TNtgwpX5>~V=LA#F-6@)>;Eb4WOH9CY`u|> z4qf7t*m#M-Iuu?f#DQBV7>SIKDhd;Ja8G;GlPL;^l3pqlhP&cJgxygjJ#=kZe30}B ziP_akWpuO!8skHi57s~Fc+iwMq`z6Qn{Z?XtxI3-r&WYX9`#wCFw!GjeWK-idffN& z!3*Ni#y=YTGj)o&p{aM#H`q>@ihtBj3V!`C5cZyAuzkJgaDK^Eyyi7kY z@1_LfO-$L~zKSMvPjB^No)x2h!Z6Kpazfo>K83E%O2a_6!`r3thXKMK)zH*>8J2=^2bqiW-SYy3f~*EwQp6UM}j6NWdo&C@oGh;A}$`a zthT>cDj_E)tt655p_OxRN1?Vh?zgWmB@Q<~Cmy~o?%xwa93qrp!T0^|pz7PR_jD;& zdsQxagFX|V$T>#-g7cfXbMHbb@}RlrLacq-ILc4T)NUH$;qhqCj2Y+B^q8~V{NES{ zr}&Yu?G=z*N?)yXb)~SQ7!Ts%K*z$z#6=I&yan5!oY*(lzMc*iLQBy~?#Is#7m_7u zj~pELpZ-Ak&;C+QdajV5r1%&no&H}4zkRcBJT?^7dte)4gNN_$ga>zjA!TPi@6O>< zrQH@Xb9Mq+I%$zDaq99@F)`Xa_wZ@HKqU>^j~pee?NIw%O0lVhHb&CCf(Cd6Dgct{ zrQB5L0>TuG$HAIg9=Xzyk#je+wF6|U%{*SDqHCOhz~bxIKBcvq80f(VKj@gZ7@yGM zXk*r2LC-aF^JAeoct#`9_S{6QX5`*d>cH+~g{QlZWMy^_d+nW_@g>(oo2E<70rV>= z(ND2)%ZSNlz#UHn|)IS_&ukv=* z6k^fy-Sb0Z(!xukV)z>%c({EgXuRtvOMPLCi+YpurYlH0B-m)ka$=2bM(WoaU;aDN zS0?EAv+POXY5H?7f8?;Bdr1LUPdcTBSJg*FhR$`^e>4pin=N&=sE@w3DgiS)%Ncus$Ip_`T4?& zyO6(Pk|1ZusH|xV0P=hkA*k2vhI7%@zLdd_!K(pK!lYYMEV#kGa>5TsF#&M^(^#B9 z-GqbNF>2PjV!X_%Wi*tnZEiK!GFup)=Z0&ocea)@48J$@e7=vJ^G31n(^%l#a}3+{ z)@xP6#bEP*}BrblxvMgoV{c9tJ?IY#mf*IIV$AQT+_K^nV@*7tldv6L(wSaL9fYshgTSk zY+Gx`+y1DJ2M?~Z=(b+|-aO5B=zI9JX}5M~k&x6;J+agx$KM!9wm3G@NhWU!{=}xa+eH2YO;yxamGQE&uI>u4)~&b<%O_9$zJ_xJGNc50nQOLx zyAxCC_2hP=xHzd}n7m*_X#`Tk_G)u2Of1{qoB#d#rEO{4{I8k7`DJ0nGFk(ci!Ya1 z&+3#dPAU7!ijewUX6uxqShrpt<$RfHLNil|34g;9&xj=8s$I0gf!f7g zs zw;oi81$r2x&mCKk$NJ7P?tOgv@ArM*DOd`n%cG&`beHVN9|U0S=hxmrPiCUDm$t8| ze+LFeFem2V;0QIZr=kR)mfSc+MNf~s(fk@NYnFKI?ce6Xrmk&1g_g9Ou{L*Lt_;A6 zjko$@BiL|dY0+r93f$JrXPX}PrX|Z-V@6ZrHkBp%V*3H}Wt5IgFur6N4n~8c{EI_} zuQHM|)7D%!1?vuF-d%p2`2Zzo7bWbRJCeW~(QY1M0NXZAoY1^jlmf5YK6(J)3YjRl1kvLD)LYR$kE*KI5e;k|07ku0_ zB#`tFK9@4mQCS$G+4)lu6sgi%GqExV{$s@V{Zo(x?@>x91(PS!qnDR*cyJ zP00=e%tHNL1AH2S+s4M;Dt=TQ0?L2^kv{I+Uc$d1P13s>sM+sQEbySooFqo|cG+5v z6>VW{MH|=wBx_!-ATjUAt$77bAbu)-S*6&kq`y=uyXL6m zo5xaeNu%441DpDa+g$l&@h{-U8K;0<*cmxRbr`|N;pUyPMw}>8y|qIZ#lOF0Ey@g) zDkkBdg*y*}A}%mvU`)FI)UPhbzyJ)|)D!i$oL{++9g3)HNyqr4ZwFggFH-3n?@yNX zNM|vUHr>1&UWbP@E}J<}9P=b#?m3iX!9B0kI+Q+EDLnYeD-VwnBipDN2iqQaBsDbh z)X&&)Un(=y!DXMxNt(Dw`MLesp4mS;@~|$lwVKae@)G>{_a=^Yvb%a9Oa&Z}KXpB^ z{NSlAgic|>?Cuc^5o&MVq=Lm+4roz0&?*oR+_$KpsK_}}$mVl}^pMd*?X>LdZ0mPZ zOikZXKLH&SN+ftI`WV&0cdwFwI|Z2|fC@f&oT*s|TW-kYda@WCg)l3GS#7eW0hpV#Bq z`f8wQr~90NRUNQ^AgVqRVu8e&2g@U`UtTO{iQi@ zTDPd2UX_C3*%t5l-9>5jM2?foH6~_?J5_C9m}1NNRW8EU@*}QcvHoRW(;l3)KTiQg z#V63v}MD9zn*g3SKa1h|{Z;D9$fV z?r}np7FC0js+; zN32-vC(C2z{ZELH^mQN#?}8)8cc3+Y0%HKgo&nke9Jo(FFi6sSd6N?%r9r;lC*b(U z!CaMG*Cc=B8Mq^1AwL8I2^ZiL!LAP|IVCkUn~cn*5FC;&u&aV3BNs$D1sGl6&~k%i zQi>HVfa~B0iw0skMr;zMX!v3aAf!NCL=d~k5WS~ud-^HxzARi^I5@GT#= zWE7Fr&2Voq$IEhRUPfnQLMe&Xy)1y%PwE0KB_T;aJUv_;&DljUtDOqs(`8|7Is53d zz40Od&JJ^pbU<3{fQust6pcF@VZj`Tmlbk>JU5Kt+upaeMI1CC*N6q-;KJ{~mGT9K z68yvxPfiT%mZC37Q6m)C_a>WIemI4cu=2pZ5X>{daQMwe1onSy$QHrSfh6aRM=t{E z(a^A8xk3Oai1_0lJt}e0yyJ|N75XZOks46qI)FVX01isv$q6rp!xQntiy&d{f@%|S zGY}vQf$Dk*dXApKK~*g+;>V94$7f~50DF)DLNhwSY6VyhKUu+`h!6Sv2V1k?z3MzIfDF#Sa24Z)FYJSkra>td5#$betjD>}z4y2yPkA>h3D-ny_*#??6#+Q!; zX$if-b#e!ibMAqE23RguZ^B=HDdx-s9BYghtMiF!p`@@qhgnl03Pd}^)THMjG z3Egqaj*I-zkmLGyB)cxS>|&%hqOKvQ)kG$m?mr^~pKo4~1NRA54a+)2-X>KjHaPkmlL~u{5|HZvS41ulREvuXZ6U*}?y#>QR(Adu zXI_~yKGeX+xz?Gk%r=Mt&zcxB{{?u)vy)R$AT<9|xYDRVpa(93Q*!9oD&MZ4lw8hzMMQyGM42Xi5q$EpOeCdVkv-Vi4gP=g)pMqfWj4o_>}`e zzYR!!_^{{d^0n|K1LqGIIXmFoiq=*;hdiO6IzW7Mcfr<3v1n`&b{rsq!}kO{7cN$5vBUaH0-XEI4Ww8ND~j=lyhUCJc1~#Y-g$Y@1d)O z)LW@>`UWj7_HL^nbv&RL)^pe{PTe(J`a&&*v3xr+hnrg;Q6FGQL$U^}>&*6|@hInHm@rPiIs%vbFn_^=n zO#d^fDP91mD#Po4F8iVpy*>@AC3=3Wj>`&Hs5)R|)qPopxkCWh7oUzw`$#5)5jN5( z7@nW8T)ny(q<+`q_CIXJ-o(TNu@)>D&%XtlVd`$zKjN{aJ2m6Nir<|eKj=5ZB6K`D zrl!$g0^bwt4%9M)KOAFGVJX2aii49= zF_8nI3nIRxV7!GLSF|y86iN!>I4~pqe1domA@+jcil+)nkDdPOLts29+lsi~v7Ia`B4d*OT zMXmMT0Eh|W7@R)-b7u}Gq+{E@fL){S7R|7I4N{``pC8$xS$2bg z{SO+rkG2nuAF7EmrQ`BkZ8E`rOg-njvg+jT> z9jUIN(FVlXU9j3-fL#p}5L5y#3n)Z>h1kP_XJ_f?U^uCwif%uhoWC#S&|Wcih!xP6vdH<} zDBn(wb4Ur{|crOWpzZ{Gt7@Ujo~hY=YgtA$(ZBTZ9IpE3y0TIfkX zdm7^7PeK^U>rv;)#df383I5tChYWBPvA{gec(ukvPlJgDmkQ{)7{TbSU=M`I(*QtT z>N*6weu9Qs^F=EkD6ZqWAwuMNXej2Biz8LK8k4JVX}EFt5SuF4e^|uCXrTB5qXMuG zK*SrcED=!>yv-tT#yb(?;omT><1AMYa|=uScWWn(TrPK z5g+H`IeM9IhVfnX=Z_JEBj<#t(6=B@ns;xVMn`jx-OCW|L9(U|bjDOZ_Vxnsp`rLq z2>S)X+C^}@ZSZu2O{Y@%{E;|_k2_#7AQB*75E;W!57BpwF!{l$ZD{XPP6eB|MZ_0d zU*A%p5^7j$q`m{I%JcIRXIet+I&$R|1;Tmg%fMBWKdKgV?rryll1be{NrA=U9Wue5 z_~L~tB4>pL3Ayy}EV7cAl|eWHND@JLhS*($u8a`V0#o+<@ZQY~QKH`7-a<(o@I2K> z6tMn;D-8jpk0W;B0D$;rB)|e}0o&v*PBGxTLL&5#N&v(H5nsO=L%s_wBz84gmOoa( zYaWP#rIl`8F<(5nUPP+6mUP)YWMof)v3s*|7~4`+h5d3W)pH`{M_3~IZ<(n>Fm`pZ z-cTjSapzy+HN?d=%`q(gl$%Q$&Y_VTl%Ct7Dm!oEm^3Py5ESu`ylysO|EGwtyXf5hqQ;=hZa@8!^q{o`4;J`!@NWAK&si7v=O6*Rh$S5^{uX$% z(n)3!^YtNCi--yb+9mKXyRZVEbYzEvh6J(!ZuqJ?Iq|0pKMaP7EFWrJd4V37p7Pcy zFT%7VJn2vfRK3rW>-@o;)cb#*Hy?^@|?)$p0!Ey!l{+%+U0g$^61@Wmt zG)MS^Vr8Z4l$bdR{LmzmbrOi|+kXapxHRFZh=UEJVC+5YKqO2qiP&X>tMnfm8kW1n zrXOhms|1&y3GpIJqCapkxoNSev3*uhG;jf+RD}r%Nq?pMbKWJl2{h->gc0MzQx3Y5 ze%o7X|F&?3Xc`|@OdosdcC5U4ShAvXmG;W*S+Z)|x8IwLRJ!oQtnSgyrHU6XNEbz{ zS@Zhpl`F?wzTLZO5%LXpD}uv~Mte!iJUMYn_xz`S|rCU(K(Ig$ANTb_xU2 z8#40apeC~E9%mXv3Dtxrw+!ySa`%5NqP}PP`KgB+aT&=AtPB`DI{z?uk z(m|kzJwv?QaRxOZyhB}l{dy(}Xk$61h2$(INsiRtv7pT5;V+Orj6~>yYM(+?f{>mM z8I7WllttaXt!Pylwc*2BY?uRgJ((z~h?*g`fRw?UGeTNufx{g>>bQo=MNW(9bMb1g zOztQ@dRD=>#*#O;d%_22+aIVx*Z(N9ue<+}Q(rlL=MR43ldk%+@7ST9^7Zg>PnETe z#?_)_UT znG5|iq~Y)I+`ynB0Wwo~T1@pHmNv>0lmmJbCdwx3+X)-B&|$Z%44+!QNli_N_{yX6 z12J;+Q24+X5O^`vYr+em{F6=*^#Jm1hE6RNdVmK(p@7{Bog^bPFGf@%{UkhfEMeut zP6^0s-|tp>$D%m0dDzO{*m$aL*^%XEoPEp-Y*B5Q$w3_^Im5@pldnyVg$R*M3F#|2 z7R9J;kyKTPZN3V!DteJXP7%9ID{3SXQ6zbD0r%UUtsO-*8AsIjj3)w+p3*=$g8RTcqW*DO8 z|1nuLjDdOq`VIIeLXV?`d7J9j?YsYHas$fp5?m8!gPs@(}2wc_6tG z77F|0Ll%HC^g^8M9cOd*f1Z)H3FWCj5Q(Oqd}6SWmgi?D_Tv=t&tq#X7U~w})Jgmb z(SySfKNc%>9@FRuwt?}^&}ORlT~SGLS`y4`wK^I`+!t*$ESEG&`5i?yiKL1%uq;E`$D zS4#%XDlaZ$wM7nJkZQJ>ev;R1=;OGwe2bj?iWH1#j!GFf;gudF)B+O$7GQL^xR4c! zP0r=?U5nrpFiUVU%G7sP{K(Q)SDAUr#@OZ4?wHnt%(DSF%3g?W4VA}W10XR7^$vGEvCDrJa} z_iW&Ha&~U72|6b7RNY?AnAWxAG!rI0EXG?+FI|;L=lu3X=33FNVvAxlW(LxQ#Z>Gz zs4s>|7$9j zBqx=XmG#>U%H^e)(h>o~j#y*K%}}?%V#5hh;zX1LyJFPLJ0N5cCRVgx8N5$kJYQIEYmD$G=J9hh%GrUAd3X=graEKFoEmtZg zZ+kfXX9#k%g_QLn?jrL!S}Rl<<=;n#9>vF>&ia28dG%~+Q5ro>okH;&yGDKQKOKg*_aX<5u z{T^aGiGY2S{%H%zv&V8LEv<-z+1RrTOSk9*IHw-C39A;7%FLq};sa9ifg-GB z^5C=d$fr;DpfSLU{pSM>r*S3a>tiVAGK)|@T>lb`IfIo3CPhSND zoUU{v8g0#!15nRBD8HUr962}x2MrD|!|C-7;dW)ZK0cdC$O0;tC1~0%lzjn6LyT)z zu3a0On;X{pf-NGvvQk;)juXDTzrrg^^`;lpj6k&ZMsm-wXp$BaMG6yz1j@pQ6s>{@ zAOgS~OHpHKjkcV&IV?tQ-B#vdcPC-(YR*=(~edF2k0XIqTt z{w!nK=#wUhxN)PRY{gpEfzOxg%}PW80w_zncISi||+@hK!`X3E6x_X2c_699kXnq`>~>A(TQf3}G~yf%BxsGy+W z{)OypAwA#Hb^BBOPpD>JNbcB@c$Ww*MuS4#b#xxpw5iQqr$tJNj`+X{6nPLlI&Vt( zaLSN%`v*SQ8aMoCheq#^!U~WOOGH&o-QVBEf~Pn(pZx8|E~Ls&^pVgIx_nheLh(z8 zAb|%G1ZJe-C9W+;fXMLMXNFZZHG6DSG#y!64-P59((DhG%fCbq!0}FKnMf`jiBz_t ztHFFtULY3N9Uj|yC1l0@oFiylON1XVTvGcGe1u$4^K(C&I~WExkAJaKso!EwDI(FTe?`|})6QBH-IeiG0!>`tMO zDNPaOQx5p9$B!RBAW#8Gfx^H3g0PQPbIRT@Fk9Ud`XIFb0Wd(DmSk)_KE#UCMS4-Q z54-5dwZ@^rw_eAq(?$Gt(WSoAX$f#!92zO8U7d!!`B7Y&47y|B<+VcV z8TG6^uA=&;lEQFQ#``s?@UlHGu$AUjjm#pl;sRl6Kn#*e62~tL4`6PO6~Im*N(^#K zr$}4As~XGRg~ouhW(kgMbSv+0xh##4j#>`z1ebR+8GhiHZRLK{IKNkU=sG1`4=7JBZvN*rj>h#xUDyJbe>kr!?6rQnxVmm_d;UCI&|Ny7ZCQUSOWU+s z_SjBJODLBIY}DY3M6B;Myx+aSY=bkswybk}q+Eh}pZCm)Cln1gw++ObhL~wj%#hZE zB#2`qMS*`6Xdt(G&O^6o9{2s8jV!!b_C8OHjf;B(c;YK?H;4)~c(los2l_eS_XM>- z2)bm&@DMnRiLo&`8~i@^ttJ9`B#z07D1TD) zcqtKrKqvw?b7pG7ZUn}~LWl&b>g0jW@wl_104S&1QY3b8?^%{mCi$IjZFD}YHHjb< z7gfCKYSM`9zu~|{PHfW38`fVl#GUFZzIE%2JLRrk228xPJUu_<0p`oJ*+=)HqI1D9 z-Fhk!fRpHk4P>;4t{vl+3#Ubh+8gb)o!0lFM!qA|FUO@Xl=8(P$^*$EWX#YyTZ4v+ z^u%J_C0~%{K}K05*9gjMgmDr7B!VemAQ+Ty%61?r5WYYkUf&d>T!D`>1fttee7yh| z40_G(?0pdqA04P%N(VQ5pGO24#vSV{m*7TOg=F%xNR@NOYeI?P$M}}TE6d(C-O*Qd z%odmb{5H|KMP_Ae*EGEaf8Nl^n`NVrPT2g$h9j=598U;J1hxtKPc5LOoKj-kEhcaA zA>lN9>b3>A%xSnO1(}?gI<{V1oB&zAq5D3hOE6G&q8ucH0)n5wZwNykd_Gjv`5L%q zMw}0MkEVV4g1)L-HuopN zS0c?fskCmp@6)UAxl>hDMObEl9dX5vPLKO-NAX0mr?dhBl;D^Q)(7wqq!h$(aWBX& zNp%G%ewJMFN#d+Y;#)HmTxkw9Wo%H&J6at1Mbl;b+EAjdS^O1g-R8!|*Ltp$PHvNk3EwNGg@6^#Wo8QE;suU#Geaf|7^^%{LPnl97o!ya1>rXrY0j*94V2^X+L(No+S4X z=3|(#VJMY@o61*1r^JGoeIuu)5kE5_IhlUqOYJJP%m3mvNSliVh3{8+djx>j>)I3B zDF1RDNK6tW*Z?>_^;WFF5-0dMn3y$lj_Xt|dOjSP5tj64(t2!Oz` zMoDJ#wlUvabh|%3SrM<0ltrz-DaF#1)rxj(_&up0z_kK_Nio~Hem#Y#J8%e;g0YNuqI9tW#EHkrqCpz3I}Ewi@GH9H4%b--JY zYTU|GP2KRacQT;r2(WralWfbcK5TNhUsgFUy91hE`P<>I;ifQBHIedPhfv_>t3qva zmT&leB2uK@S0T>9|D2wxP`pj&Hoxb_YQB3nZrpgVOq|tf(RbzKeQO+A>z2a4&4Wr` zl*_iXtt-l+k@6s_h^N{zV(M@AxL>NI=}sXd))STS+!1$t#pWi;|2;C9zN1)sRq-oM zx;sn9~rsH7RufS9CP#~=cy0IC`F1ZwUhE)lRMrDHnT{nSaP#Bn*Gu> zH9i}TmYVUit@_LTiS_i5qa9m*O}yrqx_6#(;^cE|>`D*gId6$-*|?F75s|B8T0@0; zModMAqG_5rzL58RGp8V4GEe%vC$~|srH+eyoQKX;TKGQe-Q{X*ENXM z=`1gb{2mD@KDG9el!VRM7i+?%7FbeiWkg?B%-dC0zu=A${^3XAm%Je)1>ny}S0&#_P0G4E8h%Fdx%^1M9j9BHKm`wrdQucbXy%$_|KAD#P9J7cxy z_E+rclb;sJueK+WF%)7)cacZ z51QU(V;f!h&Ak40QXgD}QthM1KkBd*B%3MgPTxD}o12$+JSI0Vf-?fW{wdcUt}10V zHc>Q#64Brg^W3)HL=_E#LZV-&r&J0#?^^_y&vjUDw!Yrxaaw!Lmj_R3=o*G)h`+28 zupg{zDe!Eu*qQNuV9YR|c}JX5Q|7?uNBSphFZywXgf4WdwjGTR$u3@`$@%x&Y)AmC zYcSqZSS4p_tntEfHbgBG=}2Z$pMMtF?HKYXXfTTH^4Q5NlFFI%a5|)COTO%);;wt= zI6L+hcRjjVP+)O*r&ips-R4Vs%J^2TB0b=t*Ee!H5+#yF6j_}X{?3y(@I81Z9PqMK z+sa}+511R%Slgy=`E7qIk!Hzq)=<3p{T0VgDi1%{I_RY=O~h7^4YzmldG4iY;kB-O z(nnt4PWT7kK-K<(TND&hb?%nYu3JnT06jVkI5iGjEzG@n?HR_4xH3H}VGcC%{=F8% zRFkJEK(+15dCvn`-h#)&aKl<;qwClU*B+&g(3{?Z-94U4N8vtrEHFE415rzbI>Do1 zxv02M_+7{m`BGhX6nA~}SXF}wy2)kaAZ^TR4ba zcs+~ORAlRDx+)ACG*))EJ_e2BsZQS4ZKvyBJol;)la*Z#6OfkLd zq75YC3>O!d8iAg>_lA^9_xkyLmqzP30O&bvrF-3eP>Ak5eE9H>`TqUNa9`tyzn!Ly z?zq|K=puP0{-)`Wl=YeiN?r{x`Jp@3@7ff||Bj$wKA-W3=@xzK$)0CYxzM|(Tw@fg(OHIHzjfu zWx(m~1{Tr1cWotFqY2{U3s2pq(+sa}F)Hp-`Wak%%KrdwslW!KY#A=!(dl!ZO1;kX z1hrg=yGi0txZLZW>p59jY>IYb3U5^?d*>0(xvpF$gJTeJ_Z9MDV$Mb`8HZz0RCvTh zM+@L9>OSutX6l`=l8C#-j%%s(n1BRB9Rkc5_}iYy;xsJ*t5wIm;ERM!-IVGAFoA{s zaliMM_7p5Z=}~QQ&`{b;th>5w9sLhCFK<`Dl`Dg;T92y}e@sLol=lT1gum5n$y%2r zA}n)wz~QTV*xWpjc=@t=?AYu}y=qkIqbSJKvAWh=?Ywlgdt%Mi{CtU(b}W$wF0c>Z z0kqdkMn*>P+4P_5_;xMj?&BRXT9@c0pYr;IF(AOW90b|&WK&nWT^z1`l|JvW+@C{v zsGoTEV?jfN8x$G+^b3VCK)W69cJH(ERnyjvuHl@kw_S|s7O!;!# z@ut>VK;ZxVc^Sxz09P%&Na=nv9T!4$QD@?r@VR2#%6wc zHax-m$wRc~8%dMmt*=S5lSZ3^0p6H>@n1 zI$U|kgva@G>jMQO{RMc3eL_mDP@7NR%>D8_P=oZ1jLOt8^pV9w%~FY*cnZZdtlxXts?vd+WhF)^+RY`vK1#`-v{5*i(B24(V94Y(K_3c+)>ru-foKuXBC&0Thxy&?0Ko;?hqU; zpJm1;&43}K@WjmT6R5j*GOy=2^FLar&&6WQnf@TBb6im<{$TPmw>jl+g81GAB8)I7 z>n_8TsXk2?7&bXHG_O&^QNlG2y|y+selZVJf< zi(%6ZJfhSahRs_d)g-%USBPAtP3cBVPy*hTo<13=4_lrCFFj_Iq8zmjq@nPVXWt@z z+vsZN5g44aP|QbIvC?Q-)Y$}1uHyDX@47tvvFrJ0l2lO;jGKzOJ_hEs^W%w4(i4sn zj}BuAefVW9spy?Qjk$=pe)(%{5k0Ym13cQCTFTm=?4|`FtTLi69hKc3DOSVQEsMP* zY$cN_YIxA0-1!j-Uk8sgm6FZU?@qavN7W!-1y5OU9DMCbs^~-L~ z^Pjo23Z&X?St48ZStMH$4!OeKbDvQt3MO~>K`N4~aJqST_R`p_x){{(R?M36@R4w# zxtILoxY$ghO=J`>7&HG!E-Z54?~$kmj;L%_LIA5VZ6Ab;ezd~P9RKd>oTh;2xTU5Dv4S6+yG7Yaz%TxZny+pch5UVKl)w@x#UP+rE{-~9wB_+(Qhn8tH zVxlT3)4KeuVzRC)b6JL|^$)*cgK$pM5ll^{XK6-NX4fp%<<->ddydmNM~~*g6b?Vk zT#A>nXDrCh>ZP{k5SIx3H6#{Y6Eo=V)Fs>BPAsoS=AEg4gq%5(lvp5d>0fa z-m~ZF&d|ELwT<%I^^?|RNZ8<>*QUrVdcAb;pcZvakIvA?)2=y=vJL!`keV^~`OWullXpnX%b}#G+tz{Rw6bK~eF)*4#CM&Hipyck3jg zOISs%wH;tD;VZ`Y-mTX-bC$-~ZXjt3E6kda*lqpSrly`WL0bQ&*FpZNi`CsAtH>_i zQbP#_I$fB9T9+twT=(YXvhGl-{Mh}|t77gFhOgPto|fjA;pqskT|2+LwcO~I%(A)x z`}gmbbrWtHk2W!oN--|g{Wm{?f9j6WpL5Ny-!l^HwPD4bFSdRAZ`{NY<4PHucA^lq z@v5(m;pAF8h>ouY=gd-!?6=enT*gckr2=eEHf?%V)a9X)LTiGx;>7*MOo&Dy25Vg& zWpjqi^>MrN+JQ2Dh9UP!)W<)x?-sHJW`C)df4W4ADotI4A9rm@Ij(*V7{CKuB*rPD zzLUAh{SDJ0J}%V;k;BTo3_k{3Q?6nr2Zqtjn>TYZy;)e$U5>n8vZxv>9j8^oGq>t@ zqq_mm75Z1dJ?B+XeM9OpN8GR%jYfMD2(E)GA_&6rYh|RayMev9ID9DRFHgACzDU95 zScMwG1B_dP2{zvYL${LMPH%g5Uu*hyAK@_LzOV=W$8qPLI1FQ{ui_ zCn^dFglU1fX3mCP)KeD4P1!q7c!N4+Oj|)Y)Hq$k+4IAR(8ZE1il2$oA9iCj0}sVW zjQ5j*uX3KVE{W=$d;|^ z0;qz_lmK#&LQwkF1gV=dZ3DK53L0I)uMqRpt@&53cwf4bti_{^h5$GP&7CX!!{dN7 z%)7>maAB^F(gYR?XS;O)ye)7jZFG<)np07G|5eRC-6hXsM()8BD5|hYo*lOmv?I(p zEq0H2OEv$QRkEw3|I$0bdqqp$iTt7&4M~o+I|s8252m~qFs7{mKOAsUmf_X2wvp2) zA+R7ns1+i)ELpoY!loj^GD+OZO2VC;jaSs~vN5gLCdG7ggBhR%))OIxn1ps5yyR-d z5h2&uvh1doHuWuX!tRJrKkA+ECsoHdxXr&&*NFaCfo|Kh>By-rkNNY%@NGLWs$9CW z*{33oe{-(&f&?%O_pABd^H;8`UiGd5YL>J9elffRz+*y1!_)4Ul2gMjZ6lLxiv3ph zeg1-jJpbn_$#>%vZP-wLZ>fXPVJ)HXDiSxc&nB#*D=5E?y2ce!lRH?XQapBZXJ3{;|5lp z?8}Z9x#G5soZl4yfmJW7C^&O?u~;Zc;=oC;dYam$Y?Pq!)6`@lBH2%$KAEmG#hf

()MD?fV}t z?IA|;vnsCq1@!o3wc>aRrhhlxmW;V?vrjKqA_AtTMh}Y457xRU$8GTuT(vsbM8{Kh z5e5^)Bp$mSr7gxt*FHVOYR1J%qFwU~3Y=!YjbhIE2xKd`PbH?OOC7~|jBo;3LJ`E| zOr?X-J#iBr|M=#27)wWjp>OgNI($crM)eE~5aC1kIRr7y=_CpoP^X&-BNv0F{QUeu zFv=`)YuVBwjf1YO-s0wfvrhZvvhoaa9U zFg)AxJC_!II-z7Bjg*-%t8o>{yLX7Q^UG5J)K|NR7Iu!`2%2`o0BdLpY+6JJV$q>u z3_yr5ue5~&9Kutg^|%R(2^QCU6}=^@r`fi$)!M~lxabT7KyUBfCRlsJE}ZsS9c)+f zWMD)4?IaKiMe;9`Z|?ZEJO@#9vwKHASLk!MTaK6mkO#^YSSX5l?$vi$`&F3jeWheX zinXT-^79|!;yxhBShH0iHtC)*3#2W8Fs@tQVIve%V2KABs=}bH`Srci=q6}=L}#>p zy8xNzSd`kFgT3jK-WBS9!ciIyV&vDj=4cHQ7VM567#2Hs6`7Mj0<=E+oG$LiXMu$X z%C_(g-%*<2&R`x08L;w+egdRn!lOVI@DN7g`>O*s0f4xPfsX+n3ot@W$;i&t`)7N+ zDuASNYQe_zCVm8ol7EeGTnWb6=x8lp-*UlCAbM~T6MDRXBAfQZN*Q3r+Y{lBgsiL% z7$u);f7+2b9C&_&6AGK{qXI@jRaH6j7#o2IrURdd7y0_!#2FR^9Z2FAw;WtvV01aI z+wKGh^#@ZTJymvjZAjFY6)W#4p{NEl`F;jmt!?NVK(?sIrh*BCUnYhexSLV)K}z)i zd?NCs9NV&Yl3_hI8=*0ihm2|3t-b)T)_-9ZYVfOyYyyO+FDLXltO&E<62;GP zYH_OcRAVIa3@AspYTJO&{RLmsq3k&3;4f?yy2c;Asa_^zeB6dY8jDk1Qx@f!heM)` z#ou0JE=_rWdua-MOi@5q(9irrC=3&2=3`gm70uH$?L#COC7;1?M7A(2ZCNOULV@ME z@0_R^ee|79fg*iJpYi7rq;KCm)V_|Qfx!(?L&@0H@aO5qlgSDc5Z9IWN4Dzdy^gel z%yTR5s7P!iissF27<3X+j6teQJp+PFPh0vK9c_V*czhz#V+QVV^+5;w?m*hOq4ySB->mk;Ck{=Es}E z7zCfe2HU_NC0hTta`$da9_=F2LZOaBiigoW|3q8O=T#?Ywm-<2c84OBOyQRGn+cxh z(bCIu7-^aes1|D!Di}<#9SMz`2DJz$LevUj*)In$+r^V4=GyS(UrZs3;=_jzrv6b_ zB8Eil0aXu~#gEP-+(K%Luh~j`JIFQC3nxHzAcJK{N}>>>?DY4~EQpu2f|2`-EBkPN zT(_&W7U~FlcW&dtoWk%vck|9%b{1^A?jlID>T)$uz7gp zpHOv0foF?7?gZPq(&heB9gF5S9F>KQ7a+&vj($qZUQR>cNN{xF7K`+{_&O;tTaH~l z%Jd$1nIYdWKOc+wQnnKuvOm*o_1u$kV0fp+?>SG=+U zrw<#i{EGO>4eQqfF~5U1-tB=E7`ebhU z+qcqH>brp(*l8Uz-$v%w5FGRcV^9?J<)GDFs^+G5#4cKkK`#^6XED5bY*Tm%;UaN0 zHT&2c(RwT&<){P8m+d+0gBwXUu*5y8sIYeO)hXsuTLrUejzUgWeB0jo?K>y6 z*peG3JubIKtZjoo?`2xwL7=4x7j}>@IeB>_VYxm8iqTSvDuVA1b(gNiGa%AOQ7Aqt z`h1rG!)AwSO`e~~j)FoKWZA>cK-9M4Ia(loD8B zfOhzByb*?$2unDrE6p%>Stt zoWQ^%f0wi72bkzc6$$}!5Z6j0Je#86W=n*D8dG+mpR2}5nj(?05up%e5UY+PT>v@K zvNy^hbPr6XobU-=N#6b^H0%OUD3-r3%)=3lU;&#;H!D7{7+6?GcIhZpZ=lwC@5JZ$ zxTQtPaSgO)b|GK2Q5O+SowW2a(Ap!!^((QT6SA|Hr@F$p`6vr9_$5B~b+)oXH*c=n2PJMIk=kLp>#1 z`MX41Um9bQH8{E^Xo4Y$HsuW?%B9kc@?p)*%?F{yZyxea=BlQS90sFg7l5E+n2bB` zIshur!`H80-zLE(b4AWuGM=qS@z1hcBb&C25Zb|7H+Bk>__jtMB6b9c0}X`wPi{Bm z6F+1yCG@JDv4Cs`aZ!>IHeOUw5NgUR zHyw@O<49FtTR3h7Vo+b`+~lD0a+J$UBIe&<8OoE=07V3Q;}z64hhAP=NpZkO3VsdP zjfqXN6hgiLv_*)@SOiQIP@G{|o}_EXnJPVi@ER3=O^{nrHWD(>`+l@sunyF7A?4rUxSC+_+yE&QBPO%HC)zB|v8)#!L zDxKNFnTs4IERjZ3{v@HTbq<$^U7_CBF1;HrX-}MPhIlFp)D@oU^`xwSDBE)O7ls*k zFuomBF4aF5wMuimzb*;4_7^BjkAez|K;89V`xoqE)d@U_QQ%=5Q5KPR;bmctfBRNf zd{|mmwm)s@(DHwI>Hw zgXQ`A$7BpyUI}$sJ+BQMKy)gNI8w7?+m)VMU?G+AHpGj8W|ZG z1cRLP6oRg3KK5OzUqq9%kxk3=5ZC7d@f!cbflYjtDX@&!#3=zLDsE64S<6c&eXumcB}Q*5f^mJ)m05RtC+7h8+?<-n)JEi6aAP}jHyUI_-`j*r^8WVD#x+jdB-1?HB^vUUfmqv=aHKWdKGeR* zuFO)+GHAEm0X=Hxpv(+sV&VnPp~RA@kom{cQfOGvd7(E+*vIv;y9^AAWo6wlI5EY{ zew5`Bgi28W;^bW51Q(T#2p}~u$TokHSz3%|(FZ-Mdk8}b3pM66G)VH> z#xOhk=S0+oX|{-|ih(a*SMPTYIt&neTq%iaft0=QpG zxe^2Ai*?l0dN9KNR-`M2gWmeG+|6 z(NG3VwWi56g8|=SWBUl`>8LLf;P`RjJOtvw&YY(U&Z9=)S|gey*gby&)^f211ufk7 zF6{DnG58R81LbNbE2>!{lf_+~m=%Jq3;`~@^~K&jIrV0d@OGn|>S-XB1Vm5Q<&ncy z`f0>(`&aOgTboJv%hMsle>`0oz8IMhRF5&(NoHQd)3bnvh$v##bs9J7LqLxjR85>D zgsQ%Xf+KJnku_;dZ5bXOmgaFyi74IxhdDIfyLELJ*AMk#h=ytj9{_*sBZKttqASJ- z;1VE<2$WLxMhD=zge6NME-N7H`!hN|cXW1s#SG8!>$|2v06#w6w#Vxy1JYDFj&0JC zWB`PXADewAedngknuCf0_LLW!Lh1&-$cZ{MMwT`Y2O-la0D^;7RIJnSO+J~#%{vs( z@t*&ZRhaf{px3-XW4la>7n94_;mT7G4AG}pj6FhZODxJDoLGti#%3s{lucZ}$l}yV z6%?fiPbyS3n@056ATGebh zTga8gA&i#N8wKVcR2>>qB{kry0=Oc&Riqm(Ld4QCtXJtBzFCGFyJs===>rLn)J5Ow zR)IiDdPk5XaR?C41$ec{86}J(X&K7rO-9ez0nZa1Elzwv=r|vum4F!q=`L^;?f2@w zZnXurH(-w+koCVCIv<<~R~X>cy8#~%=``hax$CmKUL1SmKff&O47$u3eyFvvIr_(5T^nAzZ90HPRCPgjO4Jcrdw zKy_`OS*;#mNbpz)?p7W#cH()d_Dc`{(Qg{Ei|f1A8jKu9nA%oKZkNZd3oo^_Dke~> zsWTZDyx_=-g$fm{zzzQy`){*P%GdDeW=l#;6kTRt(OWARZU#e*+2IUxsT7mqE-8>Q z(8PgB`Vf*z3SrbYp)87lf6pMq4JrG0?xTCc1zcE7-=FqL^P!`1jzr#zRB57XH-_{>kTvxRRVPQI0p9n%Gnq{!9mg_WTvH97j9_ z5c27&E+AeV$9ZERk;J%SY^9}g1IW3e@@x(OcwY`fP0lHpZVZx4AZ{boQ;=p2<0D=g zQOJ%47#D2vK5=?DX9N@=HFKY<`Q;tLL*gLVT}&ZG9|9WJ`hNDr20Z1kn~#DRo@H<; zLdzw(eY>?G>0`ew;y$alg*snHV*o``;Cy;e5Or>L;5$|#fnTxndxDMZ5%m2;9)!(z z8dw)P*<~`Vsmccf{iOdaXq%YPZ#M9rVtx9xK2znCX^B({8}2zR5R!0did^Mb3$P2< zvGzIFGi_%?sNWuTJw9lS#JcEQ>*B8R(7Lc07`wlYFg;k4yd|QhQ;-Di7(xkbpQ%Em9L?|Yyb?SSt12H;GFO)6vD-(imuP> zC&(OX=~coZQ%q#zFiY>)b5Wkj^oE){W)jyeiuD`i<3zE|p??C&fQM?a zO;Un-{+hO~?l8oj4G_*GT)enn>RyZkn=v#wQ+>zUa-f$3E{*yJ1s{g;L`?Quj)yqpr%^@BJ%JPP-o(=19?DYggXu7N&HMuenG=d zDI0)s1Sc~PX>_XO;PicyfaFBzZy?7Zq<>~HTl{nsic3yw^3C8U;OC&V=8MtRKV@7= z&S3(i6P`b1uzsoV?hK_PBj+v=nY;ZXqAMe3gH#GF)Db>2-wfOXg=)n0TMTSCwDa0| z=H~xyJpQ?_r_1AxY}vIJ9I)j3r*UOFZq0k28$3Bt%1wHLc=njV#!6f9|8KTiJ7N{c zi^<8Etpd+1sjqg{rhlA@5*h?|Ukn{1>gPv9<~1lREYphoCYb|w*`a@fG^`P=x6jDq zl|V1IF}|h2-7*GcO)iQ_jTe`q)EZqB2=GkcRmc|3p)E%Q9w??nXH6PN(c=n8GAyxh zCS>Xxj*DT7g%^zsW^V`)h6pZUT_WrAx0r>o{OGfde!@7?(HP<(&Dw3G!#1lPoH%R@ zm?&{FGl9SY)wT{u+9Q8@zkPo8LWOuD0Sy4XUL|WIlouFJKm3ZVf?EJB2mrc5t(-#^ zhqgY1e(hSQ~^egj=#(SXWd-#xMh%@`@3(K@T7*_Cw< zBPuPy@*BpN>VQcgj9&sw0l&CKayRP0M)WM0?Ew6{tY9fno66cX^cEvW3C1jLM1Au; zzwQxg-{-iPm^l@7b@fKKTP$qnEtxR(R?2vG;8VbyVD{MMbA%mtVw?8VD^+wXe^L)u z^o~xfIA;gPFs}2TFz{^Uwpy1ct{+F!{<}m}7j@ETj;Iz@i&s|bxgu=TaytBSKHSpD zV`?p@mfSnOb-Otz>1)9uNp&4Pv7IZ`H3vfuL5+Wt=vJAf7D73f26F054&`K(|Fy$n z&Hl5xA98Z8ZcZVq_4LOn;tlaR$%K`8weu7rZ9rUaKZMB}R5M?M?^BFFWF~iT4Ww`` zGIvlqUNxXO^hYA%XGcywYa2F7vT4* z^rwG)!eVhcBJ--)FTC|iTWjs;=vY{SQV-SsQ^REDJU7UmaQc;N09At~hmqTqV_1N% z|C{(%3DB>NP^E0#kciVZCcW>|x8 z6xQ$DIbT8eGUeAXG+)cYd2y#b6Eg7dQ0J5~CQI(0oUsNITi%o zS$50w7u9C`QIc>Dq^52lhb?|(!64%_{QzE9M z^va~XY5fJ^Z|1HXGtv@tkn~i0s54e`;+^yz;6VBCSx6SO%CZBbQm^#W4 zb`-==CVYuS{-3C^*)tf=vk~KOVDUd2O zi9#r2&O!OUI%n=Ct|Uv>8BUhSiBm6j7lSkYBu^np+<#PA*7$V|+fC~d^$#<@=XRUy zhCCbCAd9Be9!*iC;6YA;oLM-!03kh<&GX1E{^>lnyj^pqQG3**3(v+Oq$IF;K2QyTZRUB0BqW>#3My$(ub72 zPPHErd{^dDczt@Mz;ce-`R<3J?FrK$<>)Yuoz11{ClRa69}&ex_Qzj-9~kqs7Zzp4 zKF^g1e(Uw~8eRblepCc(JnpV!V!n-4>z;t@oKmGsQC64 zhx4bp&H(0RG7H*dn(7ME%AQX%!3SMkT$o=StlNX(*vzX#io)>iQ2xh7jSW^Yt1QP8 z!*Sa=jxn*t_N?cjcvUxa?-qN>b~kW?a>J;1*#F5}`F^Hq(6`&57K6QNR~or704DTz zVJ7qn7DkzC+{+Ag;)P0LV`I(jF7eVHO#k6s=^)tbPGPp#SgGjMIWZ$`E1R~9r-}F_ zZ!E7*$;S%YV`%u?Fs7*k47Ni&pdq?sNI#yyG@$MM=;*v2wd!4aQu-2xpDQ50Bk&MB zO1Yu)rc9BZFpKiY2-`^}FL`O#7GWRCYJcvOW;5b63$m@Lc$HnZQG6`J)%Z>g?$3M~be z?y0=)l^r&+^(QtyWJS4CYE^dZ-?UitXSD}i=24$UmEqM*|W7-R@Fs@1qhj zG9hnZ$q?^(jDfY5gnR%}pG`tckXon=tA(Yf39?#gio1Xiu; zR+p1I3Yo;|b(3J1rlqBe2c|HK;4%OE6Df7Zv`C4cU4;HY><9_;lM@wZ)7UfeS1R0u z>&yRAuS+)}TGkG1w>?Suv59vLfL(LFjN|1?;PsNw?=YnZTgExZiKu5eerEn$~>L=7vy2ZG$;Be?M> zMm~vN7y^8Je79Qw!BA2C9(R_H1^vB*>9E=1nP@|fGJEfU-RgF1Qv(I+e1c6Ili$-JgNK3M$95|x$+sfz7pYO$Ca};Wj6`S}Bvh>6j zxv!fCVAJoyU!c`=u{en^{7Wn*jCArgcLINkyKOHC$~Th9gDuOt7cM_>`PeG|H2kp= zK1nu9X;jKvO=)2}V0r?7HRftv7;p4I1Le01O z?RLs>U0vO7mKWeCs$qcVgk^c?R!^$A_ELT)@)3vUB%8jT{LzCFDOMu-*1BHMiY07H zpsF0F_~97yXS$KxaSQ*WC*c1xvB1CmY2rm@UT(d^uQR5oJEALW=&u(?U+O;HBhGP}6pk9#wfZ)&=F{Ipn=E5QXORNX*4 zV9joTYM0rM&=cwtCtGof@u4p`Nl}Go*%PVatsx-pnavGItzXYL%h@5TuD&32xow*i z-j@V}$X#yW^Vt~fWQLH2@!pCuJ;|+0x%(_Z*!gtnKc?V+ADdlS+9Ge@B49P+*sXfz zc32Jkm-qPRZEe%{L8QR@H4VKyth_;Cl4MuHpTphxR!=%;9A}1=FW~!+-0HFZf!gPx z6hp8%WmaWn^`3f0r@!lKdBj}S;u}=(=_uj!SvNTZWse}TyLMs@<+rSDx_8%HW7`f$ zgre~K{6~)O6doElj3v6sQ|Ul!(x#S!(7|pdWeE8lMi=EpH+Kj*;lFX9i|9|st4UO1 zo~$3ZVwYc1AV$?X9@A95>cO)AICGCZ?tEtA+(mWY-?YqVik|R@l06jEztX*oir)o` z{R1<>JHa|ed!xb9@x9D#n3JURgOhcdGsQDqLoXN9x?ORJux-%sJw7U!lJ^_Hu zze79p@zKMFeOVVS+_Gbs_Lxg`8L&6L4c`oMAWG8|v8O>`Cil+Vw^qCQov0I7iW7m7N^J*wY+t`{93;tCq-j46CtU8-&I@035EfJ)SWlNp+`&=QM` ziJ9P^E44nq53);#$WOl3?s7rZX|m$72Qn_lvwPz;M&vM<*^kkURcaSqdFDSWzfaZ z?DG?6YDvqpXIcN6k~eaxD7JNA=Js~T`#M}rG{L#1)tY22T^ufD#C$dR2Xq4S&)kls z?D2KtFL>&>hBm%SC(kFF#`t{>`X6RfQ8lE@OjG<^tpYqTxTWG4O?BnK+CPdDUv3F! z{Da2d?)ZW)Wf$7Tof|Glb~(sV?nVsXFZi)ix z3Iee)YnSV;VfK2hiPwMt!)FruNx@4N4!q~&VI))bdnskqVd!w030TulY_w?!hQY$+ z+Ud9p>DCJiW8#;@I}a|im2uEq`6*cLM}IBf$e8)cn@*Z$yIVd#z2p*Lm9XK8-b(k> zWv^#GnqTkkFJs}XUZ>si`Fr}vpT8HMhMsX;#n)qNJRMs5&FRUpq)Zik!|dtIG1V2} zKc%IlBA^XnyV|*$CeGC+7A7Y<0VA}8%}e%nVnIIg`}lHPlcKv=!{2|#6C$MRBGhj8 z^niPBy@b&z_FM9d`cafWTshrG5#nVYvZvU6hPCxYG;XKvl@8dNm~4(23o?>QiRhiY zUwHkxry6C2v!@oOo7o`dA8#oSxzs$o8m=lruWN#)FR&CpKzp7EYQf2#$$&Oo?TgXd zs8{=qf1^0dFn6+b08~GY!_)84>-FbvF2g_Vwn`n4P(8@Uw~2B0?%iESjvNWoHhF&3 zV`wA8EI&Eh^1y-Kx~j3(FF!8}vPJ&Ymz*@0y7g;1Z(_T0TyNv;PeNCUJ~Blf#9|v; z?YtAlFky8AVH+4PU2pa9*mj?gf0V6rf5l}>E32T`0{6>J!(R`W7QQq^a_crqTfD&5 zBKFxaZ%}Z89=P3_yrd^?7Z1Ow-pLsh7#WHJ78{zrniyZxirChz^f z=O&n*-(0{n$fLbkg0U(!ElvFpzfRijbtb&=&BgrAuE@N!qm4Idk9pF^W)<|4em^b` z;cWJCeca|Nq(|*Rt2>JuNlz(b-n`o%^p?{qaT%S-=2aTIb}e3drA(&v4D#j_ntkRw z#=n=*IhC`XbFX&ZLW`F~nD6-L=&0qP^t)<8@#^V#xen)pT3cGYplIQqRZ2cq)ptxN ze#~=kr$BD|hsJxQVMf%|0WUO{CPJ+l%%U0CGwzp-bOfPuO->c>eXHcKcx1P*I|qz! zJ#L9XTmf&L`K~t}>93xQ``KwyRjSNh2|nxpwBg0m5_3`dZqq^@ROA<;KgK!ye(^#> zL|%@c{rR#br;oAiV@?u(TZ@7FGp~vRZs*^kQ#YCku5AWL?soFz$$94Nv5i|56`x|X z{lb4|6{r!tjYCsiSSPlKnY>7`g;qI;QE$h5cOp{2o z6FhNhyTP4P5?pRsw*Th3Mt(644h|q>&D>h5m{nHi-M|00$8S4F#c#Io-C)fiBw+uhipn||08xy)k}L8 zY4Eu<^DRFk+UhH;^;n3!o?^V7Ar}RVWP=15-<55j!Z$1-j1`@q+k_ZDPCMJqk zJ9mDZm^doWE(USw%9ti0>X}9u)v=0(?Ap~RHst;5yNg1Vk+R@@C87AkN#a>~6TwF* zXPbw`PO1&)C+dmgl`hTi9KR20Ms4sJ{abNxDHBWr=bf!$J!D?qC6I!HGkO(LLr+{kv zTfLL`aPC~M@=)u*luC5bBMZpS; zWasm-e9TPg1#hoNwCvY5dE}AKmn_bD{^sFjV=_A3{yQ_Ct;=`@Vei|%tXKYPRof1q z+x?EFrDtT@y*Rz#)aC$c_+$yM{*)?;rqB+>NqMcup*@~Ia%^OmQVvFG<Xm2M* zv_{9O-&&%0Db6w+^N%#YU~X={hNF?c*j<1sx=SVQfD8W;_C_iwdONwP%xciGw!QIG zqUddTR8U-Wk4&?lGF2`Kiu~?x!o$k&TcP!M$NPpGjgk!6?6EBr5$Yn}s=mh#^7|P@ z9+M!@`9He2my_A$R?oA0r3-kOZ!=m)OIzJ;=>uz<{=@Y6IAyx1sK^jEi#{$TmMLgS z)w#7E@b~7dNPFhCODV%Pt9A5mY0*bN%3}Ov>*>fHEN)|m_>TJCFfk5fqNW#Ua?-i+ z;a<6WH{edLMA_EkHtj)c7TTs&755s9-wXACR#05)@trCgue|?9(|dqZ-T(jNN2yLy zROnPHs!ttzXBH_bqoR-g_wA#APQ-3F>q}-&KZPZ|kv+5G_k8jjr``>Ho zyKy{69bW%we^?C|cJ#f&k9eH5eCAF?-!+mM0M14YEhj53*1w{x~5(bDz3Fhm7Qug$MCe zGyoS04Yqi3;e(k9X+blfrletULFH=TK6&@NzDap^44!1TU)n9*^^adVrx7B~eMMU^ z{62rfvqUTP1xXzpos8s+43BeKZLissBIBsD~44|oxDNfYRJww zA3Ho>qja5?6+7k1>BYr7?J75lcY7nhdv(-K>OoaMiBxNq6r=q^D*xIlxX7{$b~F(? z&SAkZ79Yh$WY^23QdJ@b3O1u6+#N6=Hx%+>_xyDi@eOB;Ii7EymvJVYk5xMauiX<+ znCj?;bpTX(q5HBSFR_t-8nPaR4drBRE^qOLvy)qdYeX&HcHQ{{LKF|lm%rhO`A$;Q z^hZ_pdWrA`tu7;baD^bSyX~j?J?*i@tjUOGg^gmId)hJCLfJ~nfo%T!tka$;DdFqy zSE#;z{aQ$ijXouN>B5B>Xg8N3msTt*NzBWeb-OSoTy}3u%_WbU7WOC-QABy5?T@xT zGCC-ARx~L&zi4vkn{@dz5&oqn%JrpU@)nD?n`A-lS<5wAw58_r8H+s&Yk!2Q9r9rK zRnKwNa_8gL5xM434E%l>{3tRSaxS(-gV}-OaTJLEAI{o6bgKWoJH6P*_Pte6i4_Ld zTV6&VrvI)3v1tX{mV@kN7o0vCzUFiHQW#t5;NI_Ly6SI-ze=5bKj^^aJyuOAW>fH} z`P@m%YD>QOQU=9okNdIZ-y5$_$XXbWXaJk&!0cn^3dO2)EZYOZ*8jPa=V~1myw=+ z?7UXnS}m>dUZeZ#Rjg2SL?q!vQ5nJ4eeWkX&9W#IGv#$_?iCKL%=^d7ZDaD;wHVNT z8QzQ08@Ut2)!pP#6`D{^-LTrJc$yk?x+Jv8qVIq=jZ?(*%V_D z_E>(S@v&1k<@IY8W*^1C^Ej36SKPX%a9Pl^6dRP5rAnom`k_kT?kw?>=%9ltlRDl$#l-0agp!H+R$l9ra!Hbp2$vM&o49Hu*#eOu>U zEdB9{==@PL>qmKA?AHCOZ(u9MM=>jfJZ9p_w>L-0-2d2yrpXR@RT0gOIa?2Aca9we zaJ~m6t}(-hCJcfj8U||^3fOK{mn#?rZQW`1c$nMU`iL@hH!czb$9Jbd!nNg9k)@@N z?wal>EFXKO{3=Ce`~3S6j5BYC?9DkUuD-T;<|q&SD4Wv!JK2NSJW`B1QK?aUp6FmqeM@I@?SpT}4Gd>HRn1jSP)u(=|vv_@D|qjtyW~OOxU`22-k2mi0<08%bkX9NVPc&^h5_C zPYW|YKL6=8o;y_LcARG$yiOVpov_{9V>6e5W9T5P0wsd$J-_R`uQGUKmr3>CAXbCX zbQUBEJIr+hLSqvIwlY>Qd}TlLl(#YR$bHsIzbX?}#rIcfF=oefK8W8C^SmFO6~7uM z@+Wz^a)BK*RaC#Y5WZZT*3w`LQafa8PTPZPNj>?ZzoyEtJ?EUoq2PN5&-4~Kj)=mu zu#*Mr;mPF<=--7HQrG=T<05a^s+bNR{=a31)uTelK8hzK)K%%4$$k{Go!`rDNa9Sr zTRpSH(qh5T1scw3N#YSn##8r(oK4E0hm>kOIDKA6r{gfR z<5(*;kG3zviS}^f(}i2f)?oGJNnCH|3a(dR^_JzK^EnzC*HdO@zZx2L*g{qNmWMt}^`EZ92|N3y)7k}H&hDLNlfpIc z3EKj^rA>@$o^wyeb{al?8Gut@?ov^A3|8Y@fYi4KYaxpb){ZgnmkN;V;E=GoZ0*12 zgjLBE1;eaUj~PW8 zct_7ABqUgHsOm)=%SmKMZG9uIBv{Te{;hP*o#Tc65U^5eK8Cblqe)`exLw^ixl+2Y zvQS+8y2BF%GIvor)E|Phtmd`%$~kL3dz{qxeK7dUkD`?j-QTh^b9=Xu8Dq(eYaW45 z^fErYMZulB(d)AC-)2neTw0vouC2BAN@iWvqh3-p+XGy!{z@LjYDajh)7`sq30G+9 zFi9V~AJSrkid;lsI-GIMy$wt5tMXL(GP_bFJDG7pvSBxUm@n=_`>mY&Tn8KbwitGt z1G)YCmynkEZ2rZ@;|dju)8e)EnB=cV`;b^vbi15k1Lv3JZaC&&hc43j4=M7#L`o&<5E2R zs8uwN!#}^#_?Y$uRT~6ClmepU{D5~) zr_o%Rf}vqA`h~_^yj=4Pz7*s74tlf34zG(HmM-pnFH*Djb8qF$IDe!XVuecT=4_A0 z4tGq+m7Q(Pb)sbnHBm~O46*t4Zt?R#AuR}C_~5pUj*xHc_Qb*p1c(+a82$*o{!M(Q z`B)+s;DgwZy%fve5YwdFnuWS*&>;roAss9mTUo~+!jDDrp2t(yn~iB5XGPE2oY!eB z58s~+PKFth9d+V*J{!6BVd3e96*GBdVwkQ2>++NtxqY9+H{(ev)_iWptF$U`{d&|e zxDa2cbe+Tqo)gGyULt|4-^9~W9;aL5xq^F#(o?eOQ+H+V_b}<0_lF@c20Gf=oa@z0 z_2ysqRU|_{!s^b>`O!e}tH-n-#Jke0*ltE z{u{7QD=7hMvOykbz@S56K6pG8y?Ff3Zqc|J97dc-trAuJc3hEaD;pFFK>q0n4G*96 zyL0eSS5#EgU|pGAAUt6*HkIX$d_qT+59_L?AKk;ZRB39=ptW!Nc9H|L@F*dbxf~H zc$UdWvDv$-Uz)QPKbpg7bMwJgG}fxJPCp$_RM?l5l=P6w+4HY5gf~<(b+m{#DY%nz zyM$?OjK=}&Mh?!*yI-Njpg+*5%Vw?;mj#|01-!3g&lW%6y|D;UJSzBTR2cx`I0s`;GCv;8Ew>t(&b*?oaplSoMdF9PkqqN~GDIa7t-q_#}C-noFDxZQt6 z8IGwG&q$z7bn7FF{)tu@*P~{O(nmAax|)NMxSyo6Kgji=$Pvc*$%S*kNiNF7xIYxp zUhgR`E^c6CY-}7}e?{AYvtzS@ZS1fIaGD&(R)b{)!QAB>NqVc+QG5o4qlin2?0H<#P&ATCRwp z&PMxPZf{^4F!0h+d|_YB=U}DU9XUsWPRs2MtTjemuz7lJnSPP?&o=Jwg^F?3M~Zn+ zkUu$nFcxr9_cJ;-L6byB=7tS*fU{QOifH5{Y|l1xAG~;R0vmD)|8D#DoG)#lwT~P- z&bkYhM^UWVypd`~PgUqfywobVsBW&3;@kE3SS3Zjd1h&aRNCUS9HuJt_S37^ue)Da zb@6<(bUfy1EFBCi|zry+;=`lr)YBhDs<0b5zRjhme}A!PZ-S z0W0$NVJBoNaPOCU4>j`VYN_fACFY6r1Z#M4wF>-SlV!mHy0x zqWmEK$0zaefyxkdTs|i8)Y#+XehGEAZRO{HsM-P_T|~*y=F(&D*Ne*e2IUk}d9Avx z28=yg>2N;TfGu<`r!y6bpA2E)Bn`PKR8dIn-Psms9h?-P-QyO1# zK#wK8Php>!(|5O!mQ{{ZsgQB<^yS<3wK^HfqZmKi@rPV%|9rRa)V+ABzQ9jQ7Aid) z4VQ9b+me2{JtvtvDt+{>bEv7;Qe(ySEq(*vFQ$m_?!D}OrO|45yUO#9*%xT$ST)$> z&1gZU=A5e?NlODlslU85Wy<^Q_yzCgIfoCwo1ELwW0P;{YtXX>k zRYQq9awDp^v~=(PmPa_$Vr1Gb7#nA>>&otiH&cl$0Dkp)|F{qt5?S5wDlAcKbi+1! zs@W^P$g?2oPH^J|=jQJ$`c(DD`N#KMU1@hz4Yuut24ogO#!V1__6#u}p?}gaG7^N3 zjrHrkz&pEDNiP=`ZVv=LwKH}3elFpc-la=R`z*p<2wl-VR>yp!6-W{$!yZy>Oq+WY zKfFJ$a_;HD5n!d=mJinwnsYR6{wXO*w)P6LsLl4e$i#%R@i}49N2VN9|I3*9 zX68y^uZ?`c-_9<>*w=_U2tD)kH!5@X>h-K*4o!)UGH+KWp04|7I#>O>e>puq-n1E# z94#NkxGcIke;x}x1X?-g@P<&UO+xqf#5wxE)rE+~TrAC&gM*`3dhL4V4;#7P&;_q? z)^Z2eTcYS>XL#{egKhA!qs;Q{tVM+i<936RJmd@<2&unvX-e^8tH71;_UkU?zdtgw z=QmCKHo(S8uMnnL(QS4-JxKf_Ec^P$ZSCoX4Wy+MD?J!D$%mG?xS3LFvQX{lR#SFs z^Io^rOZ}Cun=>R&%bN3VX~|>4wvSifvNOA2B`(0v?=BBdWus@O4{Eb{{2h0e1%z!@ z?C`FTQWIe+xQ$Vb@7kf@TmNHYdQ;4ILL^aWa{D^-lCQ-8H+S7-Sbg_PuFOYha$?p1 zckRf-lcqT%tt{Nn*{yM|zs<-^^-;P2Lg!Y+_vp?R)6u6GCHKUmsqaT2*Gn+WH4bwf*%tav)Dsy|}Hxp*~1WUBzbGduAhH&JIeXKv4bgA8N(<6D7sGUN0N86H{ zG7m6i`1n-sV{R3--_bl%cSTf0vF<^OXz{Mr@Ht(@2Njg!w--zKxV?KqRp{~FPl{E| zJ)AJUC38cNc~LyPVcdD#Y+;%gI%HoHMs}snLEZKEq@DK&IG;mb(zk|s*xS*{>U2OD zE1B`jVQ z6R9C{FN)M`;r8bL62$yu+|z7`>eAfiv^>wD z=Otcq$!mDN4NjtXjJ0s4S&7Si;^U*#@FFBuLsxgc1r91d72`fcXwFaiXx@I=J-$Na zc+{lHW_~)kgva@0|MFIab=)soG+hPwyTV~lP-Ud8J-f~Zv&%-$S z*3?ii|0Hu;3dU8Y9|~_c-kPfz_OjPs?a*4WH%`evgjmd&JFPkBTemtxv8f;v13;J> zz?&t|7?O#r4VRcMY2VsQK;`$wf*YLJGL1dlbOErC3XtzjA1!n9BnFK0-&8LC&r?+K zbYS~MSG1NY;SFt%hUd2+h%me@^3tUc4D%&Or@F>xmv0=|-S{aTS57ICBG+(T)7^)q zytjs8o9I3c7Sy>jPeGS*<93>ssrf8kC44A&Gpy)e_>5o3!VsSN-5IvWVbXFroA}w-z=j2@9#>=*WwPLqH=@f``$j{6`zG^E^JD=`nPHL2u9C?nSfbfLHg)girHH5Y#P)$mXp&* zJ0!#PdMhjA$KygKcp9dEpuF)-NqM9?sQ%H^rvrbmjI7DVzAL)!koY4izhw*kQlziZ;$NF{cl1t2YpIc|)K;4LHZgVlQE_SKFKd!Xd94X6z`% z8GCa7RxB6}C5^p;0#{8;K9+)=)e7x|by91g3vZ|*Z)i~onvbj&2jXPi7gl-QBy0C^ zp79F%9zWn*`-4uWHV-=N@K%fqRB6z~YwW@RujoKr z*;$AmKZmL0JxyNWnlEs5_rN*68RhJ!sexJ#ZN2xEm8KmIQ0zLPtX>68WU<;bOCnNj zC=*q~W8zz^{3NQ3Z+~vLUE-e=($aDnu|D1KE%$qZxEcmnH+kr3yAI^w&J_li>hMCt{% zWF0N*fXX`Ass~#S^!Ud=OG)|Z{_nu_kz^%X3lIG2(ic0ZiUHmyfOv^kdK;89QZuh` zc$}fq_iW^Tjq6;9b4&9^A4SQKFdiRGy)W8lc8xi^S$uk>5!A9QOW-;0V3hd!p0)E; zv8!*)7a30HTaaUC|KGiR+vPuMi)MBw|0q-;j?kY)YIX*EsA<-DG+~SyZjVuh3(_M_ z@rKHnqOsQgzJAlA{QxdTfPo5i;J;TXKZQb9ji_|x@bGXC7_ANYUDSG;ckv6GZ2Yf0 zt;9DhQUS7hY)X7n-qpro3S3`NCm{0UG0Nn%K+oOz1nw`r%0r0Yo~R~^=60vMEF@E_ z$aYWGr)-S<`igbi`|VuYLwGJ|(#@m31;|cN@$d6@`P#>(kGhG~bRBG6$9)j2#X#>T z!|3;y!9KW76=(%urR7$iEr(+>CeBJPKkwa^8hZl+R&Om*m^;{rEP{qbxor!LdET10 zQP4_`6n#0@@#pM~xL<38U1R&|W|owr5}VM}*@kggZc(u+1)z#K+e;pUsZ=8~{l9NmGsWy&0a?v&Lx2xPfEU!)! zo;8}cE{ttq$_TY;`X;oQei0oBNcQukfByWrfbrls-TaA8`}?N{txDmA{NIe+!87pW zxt!WoX}Wek@Tj99&{@dEaGI^E9tsW**ewY@cy=|mk{J$PGxS~i0tPVga*egkSuoGh zwTuG8s|)6;&!+Z|>*;_8cl4h{75^^1#g z$0kmKD8Wsxl!|Vuc^FTQPE)U0;~A-&@nfZp*I(06FEIpQKPOHO)99x5gkMYfTC>H9 zzDLA@dpuf4etQnBk3&7OKBGexUCkj-FfnPkZD_S~)1xxp8_B=&%o8P4``=_*uEk2~ zGuL(R5M~Z*vIxAUVxJJQ7lYq!xn@;39}$t@AjEf#-EXFx;0>)jC%^2Cl9A}J7&z0Y zDtE1PNkCPPZm#+Z%+nu-Xaa2SZ=^gCu6a^_nXVEZYRz5x)_6h zf{U)jG|e}C6!QqeFK0syW!uA(T2!`O`g-Y}JKug^dz_UQmQS>!b3Hlgtw+Ubo~Run z%nLBG*&lP(1J-EgkYxNtw)`BsQ>pKkT0}WGJhYq-`?x44z3xhM z$;$k@=>%E20?-Bk3n=-tH?^V9N*|p=QiE0B-MMP;f;L1qt*;V(F?u`NnkE9f7a~3m z#xkD0Hj^8<&gdImQj3{n=aVJ6l`61udI3zqAC<`a5$_c@=?g`IGrJMUnzsI|GfFgAx zS2Mru)c-jy{D|{gGw-5651BB=%hR&lPHLp`%NZjgU}V{h2FR`d$%!opEr$`h6fei4 zUOhdb?*h%yK${~trh-)l@eu48b;B55sP}X`dvoxWc(Y29&W)^Sm;bLp^rS{^h}EOA zgWgY0xWcex{ZDOaqUAGTZoo=YbF%*kkb7qav#L#>n+_} zeRKj~su{B1P92E`=Ob-`_qU@@BcB>uW+;eU*~Z^*Wc|a{xQ40$3NJj4RGV zZ}}O%BxuD@m_%v*qzV+jh6)ZL=;@%80R}?pk*7Ynr*XF4A_tDWpJ9fC=i5x#eMxUi zVjp0K9U;ezZ)`MUCfGT?a81~O6x1l^rX%qi@77V)nx(oVmsftvV6L^_2jJ}HM(&%a zqk$?z#{jo$Rr4m|W)`OLk-3K%J`jJz{rwHDZ54iw>k^V1QE6_YWlaoiSc=x%IW07+ zf!$qFQgZRr5XF}PD+C$n0Rz0~tTJ=ejzk~5$fi`9nwqM9$2otU8M9n-)$mLOc*;-= zMKkB~-^9d;3bjo~QN^>O@Piv6_a)7KaC&>yDzeuzfoVL!Dy{3SZMcD;U**lUFX}Uu zIBWl4W{_t%bq6CW0G<$QRSDmAg#VJh6(${KeM1h?tcpeOe(2HV&CmS%8nzROD0i0E zDQQG4l`b1GS6PBJVv7>6vu z(0_)z+I=5DK{0s81z*&P(L)D;Wr;fF#wqUHOTjtNWRA5@@|aoY+bfGQo4qa?JNu`5 zPgYlwR^9##&TbGAyVGWKGBf}7j(wlzp}K`fcVeDSZhq7RFoOvi*>n<<$O<#EyDG;=a-|J=mbH)gO9r1bZ_b z(W>Tj(M?!LJ7^+EIq|E?>TMcvoXGZ3!Q0~CkPS4U6rSAAK&sd5JnLYGiuoN%I(~L> zyuEL)*T4^ z3cE8RN7;TfE&oVyuXFbeSNNOuJLb98y!-b(GwzwxY__%k^~-Q>5YNPNJH-rU1#r0o zMF=V5HHenKPdI}DguF}xvOV^+AeSa`GGYEnHykiF?7JiU?^w)fKKA)$^U?gz;J~mD zhvbK*i*Nru3y!iK#QpVmdj;h_?5S};Xz1wp!6Dxtu>dFb#~zalDJ2`17InF^`gBZ!^J1v%1n}lZeO49m0l=0EoU#xsF(2 z^`RQ2Jb+rCmSuQMEB^qKtu$7RxyZG3Cy%q@rc0%6#^>{}VenrhERml9cM~Zhzw=6t zF7|(wFucl%TgVKyv;{~)`~^GMyw@$Lnx~pQR<`djz9ZrqTZ{XW2EGpAGZ`UwOO%M! zeGvcP-8&TkMdcU2q3Xx`aD_lmj^okeHNP$ZPps zH^Vz5fr+YT^XJdr65wCagvFtq6tfBshPotDHva%}9cwloe&)ITtP}%0BarvAhC~=3 zqJ6A^eJ0l#&HBzRU(h6pOTDOQSD@T9nM#-X`6_|%LYAAZJ5Vr^7;QKHro$BA;ib|$ z&>I$i8vgw6^PfwgzB>nyvGy-m1lo9;KwrWe29Ge1!{0?ER2yU1VZrO~FbSTZzLp4z z|8Q2t+r%EK4$aHV{JaZJV2Gn+FI%47P5SyW_-v(F;89=rk6cEYON6-9Ho`g5V|mmV zo+l*q#g9!9Y0uSX_UlP6;^G*xE~WOeVF$ov1P%q6Ab-d${79gPTe1okd|lHRBfBF~Z9VLk z5Ns?eSoCB6OU>k#3%MJSPK{XkHR;6@GIF@4VKw@Ae1Ql-hOg~4>jOYCvD_)JeyN1_ zGp2R|bm9Otl}x-_YKQGQu6TT88zTcP%OX^`N_bZ<1u|KOLW3N0{a~-k0$7Ok+!~H4 z7|U)DHmPL1gXRDZMp7d$g8`#t+Vv~KGUccgVrqlV=DU(0nDO##d#ytlR+JO#l)MH$ zaPCV}$_Bs(0K<6B?{pIpa}2xCYF2&=Tu;w+0B}02p)w~F`bH9W91p#A zet9LBhc0le1|9cZ>dP@q!Wc<+;GT?jn^h?**K&Yu8Hd$c5_H}88sD~=sGeY2Tnl_{ z3fZnzh@bb`Bv=k_T*6Y;3@n6#pDC|DU>i9*nR|Bn`lQ-a^E}>U?!c*CID4r<^gUlJ+Hn=4=OA9j+9N0lx=b&-rS&Y z4Y7PlB*@x)V4-+V1Oc;zH7)*+ufW>-D71IOX>C1e>`jLe%&EqoevSJYzKMj5AT<Y4q9U13?d_%zBN8@e#}H5Ntbbldja%lV$$hI%fiL{8)8VXAohQP4 z0y$lmX@e+t?x&<|_=1mO-vt%rP+}J|LE)=JwsN{EqgWS|&A;z|WW_JJrY0s+#Q=Jw zz(@E9cOz2yfj~L0duunur>Ad$aZ;7nx=nT{od0>Rz_P3-Vrr~Wtl8S}p5=9r)jGgy zFDJ6up-D7@yfy;a*vKB}C}@ID6kH7r%;NoLU$Wi&IQS@Y3vX_O)8ItlQ)3B5w zj*kdL%n=s_u?bL}8YM`~d2c^kE zEJm!lp5XxBO00jvS{Z7M@HY@Ml7P|o|HFDr z=X_bcT;&4bUttQzA*sQT3n=(i9x;$0JM%`+IDnQT7ADtOAgu^EwqOZZmxC}`$9)nA zHSt&6=(bC4kXBOU-R02I+tuv6$`y1PL4WGV=nQXYF1i7aSt95bp~tKJDErotK=mP( z`CqKrsm`m<`(f?3o)i&7eC&XYXmkt%md;R(9I@4e2n)$g9Q>(VDWhYCv6SoB6 zngxeQBK;ihkA?!Tyq}y}XAe_v*Y82fU^)vUDw#$RSwp;4;%0;F0{7tAr0ymi?G7h| zNgqShs~CR7Pv6pXGL~q1-D;l8_We?D_)X~TA@CVzd5YN)gk;oQT3k#FCGcB6))tOU z4F$6cFLW<0MQ&wez)bAFZ1aX+_!%I-NC3JmH9!;lQ0C$B%x$9Z2mEXn{)}!2Z}71c zFH(Ja2-0H0+LM9`;i6VGqGEqNUtQV&$s0cr;EX4;C%@NuHzq1;(S+K()0Ep=yYuR^ zd+RoFjD2v%UAI3{Ei86I2vK=Jfl;b>v)+M=GGQ9j_-qbGod>RQ`->$qu5LGJa=rK5f|F=Maky6kGEjCiW#tCWRvm`DFnwW8Dv78*=^z(15U;9bm7;92g* zAt;EZ6F1YjFn--iI6>uLkcr<~Ku_r**ch6H2-Fd;c9)(Z&-N7bXxpXOVFLo?x{Ojxg#^^Oz2 zf)Q(%jmrE4Laq?EbdSH`?_jv`zdOXCL4c^cgl)gb5eD?aJ1*#*+KgJe9kkLxTGk1M zSN}I8>DDGlxcVR<&wDbgb$4O?fDQEppw{R)o^gC-P~ZIzG$-Y-u!PJ(lpJin$f*fjdJ$gn8h*rZkCpkIY3)wm}0etwl*X z8=t>}8lVeQOSuqANkAo`YiJn5vT`k}frrXL=gwiO-wGs2a>qSQGB;QPM67^R0D)B6 z!Rz|I2_V+%qS^dIjdrAOetT% z1v&vV)wygSK-RhN`RG3Mk57?nzG|QmV*Ia8V*k?aIZ!Z?%`fCsXrKyqN!Y@j(pu?& z#aD@mLwmHe^p$o(FxLY<#om!Wx0|;z#@$T5qYvYSvxkDYyq9d~r4j(w7!@4!ll4Fd zvU@`HZ+4T5g*<)vZRT^$pWq!DdvTRaPPtygH}`e^@uB}nc!R{5r!NDS-94JZu4aD@ z{Uz5IVTm+e2|Xu_-oSFndlI>cN#)$fpR8g`igJ7Xy2noe*+Or0nQ|&Y7Q4>U(oz9a zXo9Y2)p`iBk2JQwdGOZ5XfSNzi{LoBlBv!C@)}$N{~jyWCSk#@7{_`H^;3<{1D{35 zk4igChehMf*e~<+U_VXleK}AcjSCm< zD{y%tRAVikaJaH`$Mv1T>eTe(5=%dW?RZ!qH*wDpoP~jocPrR#c3FT&Ii$1fj z*-11*L}5!R4^rOyaCx?yCHW!~SS{ylm%ng;OmPzIfU`-68%*8GX!7{r8Tr>K3uhtk zTg8A${{(p>u}HQA3~-EDYHO=l^S$@b(Mh&;xyRZ9+~o{uy0CxsJaz^0&oeRMoEUsL5eY&j=6ltki37gi}|eQZk+JalDE zm1fb^V{&Xv>$J4e((o$jcJEEe+z)Z|EJKZBNo8X~bMzClO4Jxn0nM;iT((mbrf}jk zny+?Hu^NOQakHqvIyd0a=`dk2x>v#;r>51`K&4Bhq_nrSw{L-8_4h6Fn{RSZ$8PJzjVyMk0wDLbC<=fhB@6J}y@5#|yI?7V z&u8kx36|rn;>6uWl+y8PqBWUwU*m#tT&~ap^x^n}mrn5@r<4T4-P(j{G$?#keETNL z9%~&>+2;N>5@? z5T-ba)fmASSQk#6&jp2qo`1o^Ou;Dai3#N}d;$V-bb4#**%+DO@)lZHUYUoqKX`iD z;iq$PEp);8DoI9-?>$J(Gp}*bLF63_$ZQT{(@q~ztY5d^Kc>>V$K5ZndKZH@i{#A3 zPAn@w9=g}9rWxE#K_Yn(BC{4CvejZT%|dtd&<)c8h4H91{u}&rTN!2#RuBrAsf_ej zJ9TQ1xtEnRPV$C!HBxpP@^tvLL6Ye2buk4?~;sNq|N9Zt|>eJJdT-&o*%1vvUi>GhNZXDzy@3jszh}FO)jXVe&t)VhkF1~R3DIPk z9&d}ooBfS*D&bL}5d2*t1VdW3GdU4CBdenJ3yjCVy2fI`r=`ehs?3!PV>*)*Vg#m3 zz@CwfW2C&>BF-F;Q-b-#p?Ern#Z8rK-qrfC1%A&8Hj}~iActT{FM={v?`!fB!foB6 z^l<2e`5@V?qC^*hAq)NTsP~gs;DSMXbrnCsIa`#@9L+OjYo0WbmUo_c$|U5mjf51? z7vL*Spo4cl2d(n@e*>072yQc*`cVXIyUkBzwgN@ZVek~a&2>4z&|osBm<6LC*zy`8 zLU@%qsT>M^3ql|ZCNTwT%FXK*x8=CXZP5)2T$@>o=F9?lox6PdVNaKXZn_Ip&kMv| zdloOpl+mHj@O8FQ!kMv3m}w}|=-|E;vrv%2245gxKC)quX;Z?iK&XB$3)DSWxiUoS z0KcU?OSiB0HrRFF$bSM*Xf29vv2@+6b1^~&bA?k|c9vev%leECGDOYIai{%Eo zEAB0MMH@RAdUSJgIWm-!44ySvlqH3{e-R=GMNfmHp~sr}z+z>M5GA>0kAW#yBW!J< zO`WxfS35Ra37+}}0;kfgb`@XYh*rcSC{;-oe<|4%@RG+=n zhl$(_f+_n79u#62=?Ug^OTS&ogq@Vp&(B!{DxlP_NfdIxpgo_9{2ZrFIA-%6Foqxs zsA3b|Z2x$E#$S({huzu+rb-&^dvq|(efUl3gLConAkOZV$+@GLjp07UH|r!S@SSFe(2)e!j4$r|kHLk7g%GO$42T=2fMdcpUj8yXNYB(zpiip5 z;*gb+vIDexZYbWbLmm_zV?L#B2Hh;jqqnEY(neZsK_r_tC-CH5#F-Q9D zh#N{HWys=$lr&P!H4kG^Z`(6}q$}=-V1YQR;92{w;2?RQofyLx1sQVQCjMbxKXt2Ye|jNXTc(~mvwb@S7D)x3HWoihbKAU z6bUWj-JtwD;?8!~h8hf8t`xBN*--Ps!_6~+&|rT#idKZ_I4j4rTp#zghdu0%Pc@_C zY9*Zv3M{dAfhp(vGjz>K@JDl?aM(=xf{uvw$?E%~u!dd#v*`^^(ArMFfXIqi@2{^Z$BYpzUS{{06 zU0;HrH!EU`xMPP|dSD}?L(A#|NAtQ^MqllS<9l)D1BkaPgAN1<7X~o4JvyCk&hEw4 z^Kx{d8LwT6z9$frjWX0!rL?RpdReQf-v>3bI_*;hL!Y<$xa1Rrwx=)e^YZduL=w9+ z_d$^F_rAL#D#^9_byj5eFeg&ajf`U3#m5i(RdGwloP|x$DKgK*#^D{ykB2y(ZS*1; zb{IpVF7GWu6GAH_CblVbM>x9O7)9xT0qv-SSTC;v9B%RR_dGT!486F&rR9olDZ~8e zq}kr9zvKed4$bh5oPcK`!ooZ0H&3W;x`qwuUz;DP( zHif_8-{}USESp{#0vY++QMTfP3-B^RLdLTda(Eh7rtDNk>~Tb-G+FSa{&6ihf3j6P zV#2Hf73(q50;Ih=7)>t2W(fQ_2oi5n*@_Y~&-$=BR1<91^D1-5k=7Jr?D+!y-sRSY@<(6oqoW|3aOuAuo?5X|Ua`=ZH z=-GTx#zof6{qc*sKOlsYOBFX=KuXHmT6Dn*Jma9|$X`fi0~NtYBP1Fg5gZDk(z3U8 zscb)OR@!Ovdln-_oIiv8xj?F06p>&sSA9#hb2JAq5K zL+*Pn%)0&q;a#`m&miWc=t9s$F6iSY+)(}z4T(3FudSxmpB@a<4Dh>0cRhB8XyqNn z>}u3-ebmHLY4z6kyU~ckS;p0`s-LfD1>K3ysE1|y+@+bnn1l*F+nV$0>*g5lBI0&5Qo z*GwEQZT;RjU4wwZT@nnqluKcyRAZ47V@9djQIvk}D(!AjFwarx@1sTSORMf7Eq4?; z_B$HN_gLfr)7>4FIH>dHQm!nfD=gNYTh;#xUir3K+S*@gX5?_1R^snS>RGNkuD~0r z2LmP+Pagkxk(vOYJh*1FKzTHcOHCgYqPJQBBR$Jjy~Wq$H&k5sLnvXP)H8s;i38Zy zw5$Q?04VRBRYtBu*`TS?NBYoek#kR^eM7krG&(wgwPwRo6CNm^kQwm!fLxWZ(Gljg zuwr^eeRmi;#pwH77fwjK`YWO;}@pXLEa7 z+gmm=qfts)+TDkmkWkNM@hH2SmbzSkr{i9w6}`!bzWHw(0?clOKdTAkHXECSZcUiE z@TI`4L~z}hF_q7MKZ=v<*nxd@#R-;$1_8pLJY!<(oHFvW7Tq+4dtQ$cTjCiUi}{{xdiAn zAHCwiPO~!5<`nB>w6y$q{2iiGQmVWvzCO4t`~$8nJuqah*=!Mz*pWavlrhu+4GU8%6CXMz)~++Mu@s{5eoo;Jj*y!3d_B0izYA0eLmGFr=5wa$PP(IU?69qtBBT)l#C{(S#t=z&1Hh-#2SsIq>p2rre!f7iQuvXx>3_S` z$oA1T)@bkim9_Nx-?ll$fF9Ho8I}6cG5z{;Y3cxjqdCpVgywX>JC$~FKLnmxz>~$f zzb`>F7e)2A!F?Qh@XREt)#G&?=GQ>7gF{9A=%2k4&c#WH2b=$cPgI4LC3=BDz1SeV zrtjtGZSFM{+P(*CK6BVG)3VAyjuM0QFogIq6%^U}p1$vvPX~kJ*rLZIZmu3l@_|pB zk^c9)H`U18>g%6c&@f=M$N=6?{t7yxwBJC1>gK8+dXD>~{Hi{>$8-xSvU*#}#H>V# z?RuC0Gu&qCzHtZ-n86=Va zDMkOYGEXSOodm0{aA;WNn&sgT@kH~s6#e%%@TNyXTHL8A%E^@mmok;fJV_z9sq_sq zavm|#p`T9o7qs;0ym0mS_-3!_!EN$jFv7y*92e^)C{=v{EV)SqxHXJ8I!m%|m!Iai z_Q+5{H1fYQhhw}k6zETRoleX#cdeEZi|qDq%bwe#X+Ju1hB<2MvwLi8)?$qiad^ap zk%0s}Wi5iWhzu4my!Wj9$?)KgREsYu;Y6Zyt^DeIbB&Mk4fXy%cMz+BEK6Ynr#3Bu zmQlL8;#Quz2>8bbwgJSc``A4dyhZ(k4-L;$$V~pZo!`a8<7>hj3rwg_1SYjaj^5!| zSJMI0ygWGR)ws6S?sfY&tmT@Ve2DVmMRxYKtV_%o6k5CZgu}jv{~Xjo&S*D5?q^YL zhr(8=!veuiWo`{P@6`ZF;CwImVaC;lzs-0D%Yr0v8MjkcS z6)adU?pCEz>f@tZ|80`>YH9c5P3+8752BjOk95aBuyCS3wY3`gvjG&*eVA*we-ytV zkGI(-K0Y)XG4ys$9ZwL?W)q?Rx}^O{}y`@bVE=0 z7~>^!L?%-03+tn5y)jn>vaVuA?%UK~mTdm#tyyNu)x$HP=At`eM2@7e9$Tjn z=U#n**K4O^AA{!wwrVc#9;iBxz^0Tir8rmEJ8=$=D;76){+b__MuE_gb9sk+`ECU% z;Ka=QS;q6wYKGIYB5tMCWQxc&%YrhKvj>9|wJ>wGe2g#uL?s$NQK6T@2U`g|OOcGp>_!rCx@Ngfnf4$e9Q2jHm|%WPzHpefOM;2Oa!?3< z02?A?OIH^Ys$t15u4_Xy@8FEb;1f|Xm62CtA4Wy(B1WMF_?U?4Z#-haAKz#lAm1utI1=hyXa#E_&^MtA_MEPiThTjpS1pM;mbKQAz1NvTn;ztVzjair!mhD6G@ zdFXrA9j6RB%HWeIUH?mKWRed@WMGF*-TR}T7`$equfbh_C`_m6-1 z#G|thf7MSp;bRtcmjj`sF1sQM=a?l} zda|e;+*S(`Si?r{D$)x~RLXYx+PeeX9E_)yYDncpfDVE8W7P8DgIyti!zBA`2gcrL zcmr_c=-{oX5$M7jAS;V_e~+DJ& zUJUI1BbX>C0P-xOMa`KAoZNY)O7PN%Jou9YSB9uv5Emzc7ba++q+g)0UdAN*F^D5! zZ$=b>^UW(pA$b5jG&J{YI>}jCCa@#7-l*wsN&D7$O9wv1AN}G)>RkVz=SdY zJ~c!-`_Hswz)}ncTU-eaJ5o|*9jzE90W;E!))7Xt)B^QAL$@An z;Fz42V=3-+k8c>fy-C3dZNu=08??T zJOgH~yK#t4=)%W@;3sPGSwxE>8qGyCvXScFa_ms_kwc)hUBaa3A{L`1Ts1@A39J;+ zAOOeA+3=|6_%&-BD&~N=GJwgWvA)5{#$KPy-%#=Y(R3!@SblBSzeOd4WVjVdsZ1Fn zR4AfCWqLi`BLnTCiGAr{`LP&}XnM;yPAtckcuAcWhj*jDfpXZUduYK)( z?(!FQ-PexP&5|4Leh3Edc|AT2$H7KZ;>h=kf0XNO|hHh zb_T#Yf3S@c>JbbmidYo+=&Jp_*K*ku9bFL{3W?g1?Oz*kj{nOF#z2Xckm3-Y4%H43 z*GYZk#qR>b{uUe}t6?x%D8eZu!D5=!dIz~Y?8w^N4;mFFtnUS4wUrMw7fM&^<(c59 zxn8^mW;P)wBl;t^pKd-}Ae8LzdK)pwhNL(uRvzC1>vI$2FaM%b$@9GVWqp~V*)3_}DiEkxagzFwuC zwsuF}i}NrK!Jf~$h*qc9)JwghHn5G^r)xHR-zA7W(|Mta^t0Qc`!`q)+;bx{@r;LxS~)Al*6206udyqOn7a z>E1i|^!A8fsAlI)zk&S9 zL@Y4MA7qqQ!)i)^zMc(?HB-;=bnltLs*-CVU?LpnzI^K+2f+_ll?zzfhFMucyjc+e zq8WEn^r-mR;+!tL;&#>nA2Y5UP;Ij)v9aaV} zxeqk{qUc>3C~7JX@CjbL_r1m`=Pl9e0+G@1sOm&=%cvT-a{_NTS5E`ldckF8&}Rdp z*P~B)m7nO?&35BPOb1SE0GxS1*m4QSuytId5w@U%dJPlC!$d`aJ@9BV^g=>q-JXai}0O)E)&x zTbVQ2QhWH$IMs5*yNKme{4MyeD?@X`#Y1bB8_%_~2^w9Uxj)n>3j zDASqYZg)$I{`z+!4^}|y63=NGvIU|S*Zz(!e7tjK3-Q8Z%Xm#BcDhT46L0gON6t*) zGK=~g(0NO({;Jc|NG-8Uu|JF`tCwc= z($I<=g8MQSq|=o2!o`ewR$#;DLq%sW|5Uf$7uw7k&pN%`BO|aWpzans;l6fECwm9|*MH9Hgw`Y4`hAMy;)o4r(bU^YZoavmya71QSf6 zi9qtNTI{8L_Q-V?x&gwd1fV3nK3ZN&KAmS$!D>Q>hMNj{ouf)`^uZ{s~cUrW*}Q86t#qEo&y+5z;DocuzL6LptUNk{TEOq!fc!k74VtQ4e**7 zU~%}K1oZ>@(cfS3S80>#bL2CIZFze{L`ed!OnU7e^ysL31^U zH#h>SqTE#8IA)sIzz?7Kf)87t+*lPO%p*`YA?CvjpI$rwaIAfZixovaHubXExZ%U+ zhcOMxtNzQ+<^4;pXUdfWGb%#RnAGoymSaiZfKZoQeDm`RYyBEunXVke?o?Z7Xwl}o znseUedv=cpru4k&hK~p-XC!KCXa>I{%~ECmOVTpKJ4a}a#-IYuQ2FPwpe)ZF!Qu%> zr|L(U(3JK|Hd-Dah7`g9XY8g$EX*gSRS&44~*tb=`$4Xs7Y@Py@xu*ZI5s(EFeM| z6Q@Uozra!=N<1Pirue)zu@B%iyYW%Y#=u>Mi-Ay#V4M0v$U-p$eA%J2`(n%M3D=4O z6!Pf(=G8Z!w4i(T(1~Wca{j%Q-&vKzm5@AGOv+%2$~dQKafUy*@b>9z=yf23AXmYc z#xFCKmoj)Xy1kE+zL7b61XtZ^$K#LAh6DJPB93B7JlMaB9S2&hmc z{8bsPVN)J|01Zx>zMzDPW;8dkJ`M7!x8IKYXMw2 z{2bvi^d`lMHY83r5ss)yKI+%6R8EG-ao7>(;c7s(lFPTjU2a<`$W|de$Ypq%9 zaL8Thp}o5%5$mYJGKf9-aiO5!p?}^J4A6@6hqaWCHT0A~?Y4DJw8-ybnbT;smBx~M zLL-6~gfLmfJs(B)5H3;P4qvSP$k1St2^AcK2E?FZePw9F{BxltB5J2tM=^l}HX`IS zgUkyh(?LKmEDaizVORXRbec~l4R?=pxPZc- zZOqbH_p;W;vib{S>n+Ciluzj?;4BA`$n?X>kv!Y#gY))MLugyGL%y6pxV(!>5^k2K~P@rwC$&5Qt z5zTdN@seSN6Hk7bpq^Tl+sdgU5d8I6Xu(yXxC%qfXt$6S4duKCJmak24p(*n)M&bI z<_dx^L9@nWc4Lc%asQBnq6)-#g!B-#Y&9B)L|?^yG)C_ihBx+_x#&8cK3@}>`Lql0%IAqP#fpF zPW8%fVS%GlI#kr_OMp$$XDEzQCjKH>Ri<4ev^u)oi-uN;-6E&Z9Ep9GumXr4yxY|z zC>kN8T?`oNW$sPKor3V)?K-W0^d+auC(TxVshqHm@i$5K)%OThEo7M2jo!qzj22hC z+(J0SSQOdOa_J=K!lhc4Y##MLQwUlB+NJUPm1B&x*X;n7C_uS!45AUyK5bVwxV>iw z+=jkDW!IpqXH4kxj`CMP!`ovKp$Odr-cI5^M93=%J(G!fRF^!nqBr6xh{^Eo8DnWK zdnbX?)k?^NiIZn8ZkLGlO?j_8<80kwVYMNoP2* zwsDVvC}D&FrQM7jf3+SS@nL3Dl05JNMNv)PbmCfGE_lbm(Hg%`ajEg7<ee>hzxI72*Qb)2qwW1DTFM8 zS>|fJACahppb?$C{rF-$>5J%FHcW_##pYvdh>0UM{|J~lM^NaX%VxutUU@hrx)~Fq zKw_GmUO2S_ZFlvABcm+J<2k780=4)xl&`48i+o{$?(lHT{vp5BG}O&6Lkn2dBea!A z(Gd4&jM!6SAv&R~p}TMwB>8HByOktzNj^$b%U3vB%M?IQCCIOmwLb4jz%x96o$3N_ zaAe!mfHKIw2O$aB`r+$4;v;8KT+YqNu<8sC)X2)rwAS&)=bT3RjowDR#zoyu3?utx z&EMSpu9pic*sKG*q}wx6`*8CQ-vjRUY3r0O9!T6wYkY}a$boRHq=pFfPG8{dHVNL% zO?A7pA2r{&^DbN>5z5(WwY#pAYeU66&9n9MG=ghZKLw^?~ESPZreQh~%sPw=}+ zK}}yka1X#iBPKgIV=gK=qv0hAq;&3g8$)Bh~6PAyZ`4o1mi*T!Ubag!D=A% zb2w}opt2(ozP+i%(3b}S@28+st(w%jxH;W`C zO&a>({mP>U6G+f!2{#!}e+R{-DEG^vYkSD8)+0Ox-kkAw0g4nOf zXWL9FQKhT<0o|$~b*{+Xq097WyNu z0uU=%^MtRZZrEVh;dGVhsl~vu>=UE1}K>B{``6E&zdi0f%XNVTqotyz7Z@6siaUGZyq8@XX(O94);^>NL_{7QD=TKN=W9V~m zd!2rj%u?OKyGcH$R?a^YhcV|8X`hvG@kK>_z>$#2)N$T}+tN!IwOTBX5*x)2BVNK` z&#E}9ivKE;N68C9O_(tO(d9&Y7=nVwLz?MvjFCsvJQS$8bG{1&uCDdPpW}%Pyq}B$ zda2Qu?55qT_~kGRq}AX@j|#}4N_c`QMcYf*i0{u~*6f!}mziJ^{&*DvD)2ezH`ZqS zW?_jo$Ha;7GT%+$%dTQBR@is*d2Trkp>v0ZD-5oQFXa=tgaqLxL}KO;oe`EhoH;9; z@8dfYiH;@F4JCFAf!tE_q&~D;`V>?8I-%}ClXCaN1jn2MyW8f0r)U)lv{5~hKv(WI z6HZ(nu*8YGAq9!BU*POKsQ$SBYRYt1&hF{z6+6O}h;Em6#r8imD0qeUgjTSVEiQXm z(h!Cs`RX(`rP3L+%#^=9II_|`=bOY2gGR^IVM5nF(?4bqGxf3A@nw80n?Kc^nt{;qY1Zft7 z)_#2hgJZ<-iCuYo3d1ri=UdWk$p9-Ygo%yGGie< z-0CaQ>f1<*ek@G=n$fsBg0vURzcbwnV-i6ARE8szfzC&7JT}hORsYo!jv4i7Fv1D7rc+v|#oGtDWXePe!VS zENJ<(V&ytf;BKSm*ylnzJ_e33g*SIgAxg{rkvyE>sV(d#VPf`^H>ggI-J(&f;X3+( z7zs8&cyc%`dL1zW5xB4K2p_S70Y2Ef$_uAUFRW1q1L**4&7PZ^6M%+kEI2h(;L}Yl z&yrTF3a#!18H5|5Q}#Uvr_~(p<~IO3jo}v%ID3P`4G0=9M)@vqafRjYnrbp{U*_Gm z^0rVK7)S(bso}hEkx1gy?Tsj5flf9E+n~UYWQtb`JMd^IvWQGwh*+Od~8i4fc?C}wfQ#jR|uCSp^e=iF16__ha=TL&PL6~ zdJksx+z^Eqhqa8#$9K!Z!VOp3>XC>>ZFCawgGoZtpShPhB;@cd zD~_)r(3;~C4i`mf5RwHilN)>px623y(z}slW=U>HD$lJEQfkjE6x@K@;;F&pIZbBa z%b_wD6P|xOR)oTbCM6}lI6Lks+N$n%pe4zYEn<&1xKkP@Q0pX_9fU5Yq(I4T zasIN+ilv{lx-V4zZddU)Xe4aB#BBnOc*oYG-Dcn>5}GDQcq0*#M@W>yXq$SY;9=i` zgz3-x*A&JVYbhCqArKu(iLdh0p%D@T9^R_x3tB1-Fjk2;|Ip}1W1PT_Agq*V{h-)` zU`&UaRpRehYPgs z3ojwgb(i+VZS#b1!uba9r*bDGDQm%#5#|#XKIsZYp((D%0*G0|=wS$z5YYK@d<$@2 zMqeUt&uF2h4XPUhSI|P5t8FQe(GWUa?x#>KihZleD}c*illcTfEKcG3?~PHG0V-y% zQu{1v{lb4d+*A@tVGyC-gi}o*;k-~Q5gYW-;I3UQIgKmgEII>j&$B$Mv$DoOuCoS9 zh{?aNRXZKgH4oLEA%LPLQ8_&vyBaVVH`|ZY-0Ig;$hJ6O^!at13=dp+F5|0i@qP5Maagx()=~>eiXA}nJ0?Vfk$drR8zQY!S=qAF=eM)!wt$6 zi|83GDknaIwjQ-13lyJFAJUpfQ=B48%Ss=WA4?uuPG#HuN-XngXRIZ5>syFoHE!dXzX)+uJI?46seUI5XhRQ z3G@=0pXVBXdJuh0A=&Rh35-P$q5FVC zhJp~!5n2xo=FPAiISA zqs!Vm9sYWxFTQc?zljCKt}S{y!}(a|pMhW;MkV$d6uF zd)U)mI;g~iVP}o5?)HQo30Cm|EEwuW^QOznza3I#OM4GcUjs%~`~AZ+T>fap`_}$5 zg&}QHPghUR&j@Pv^iKEuEV_J*`U9KG{lB@P7*+6Dp3ry7NO)jqIVfL zg^xVGrsQp1WShis???j;XYeIE!+eM>eIU~_?RL4Ecm`*UvSu{WT=%!S`IFw_+We}C zd}8o=RCB7t__gAuFVG2QevZAeKkwZ%@#dy|e`K2lun2Y(KYz2T*Q9V4*iq}wEYOEDL?fkr{LVF^8Yujeev2nPv<8E6CdTuJsW zMJC*5JLLleiB2w(2PV#gG4)Y9_ji1w>l!C+X@tz5Sy2w2PIUdf%zzCg z=1b^(E#K9HCs$p1mWrC&c0F{wJeTFHI$ZZxO*+CV>zhH6e>J}yt`l*>&mJgxMqf7U4=Z0<5-s~R6N}RybF%?_e>^5fZT;!@Hg2;}#gOI$svB0& z96>zvFjVwdQmcIAQ{ZI8#2 zj(m%`!Ep%fn_to!Dwp7R`Ix#i02GrN$qA4_6dSa*kWJ`XxxSK`6SYW2UIGUGL(d_Y z#EbxDk4BWD!gzIx&+A?_|7#V%SeQ8+VHkReY>9_m(-LA29U)*8e_PL00Ir;Khis+1ERGZH~&L|+j0vOSIF1=r}AUr{s za)rP!N@3HLO+n&7IvT<8K#hx_xwNDDv6gQO`@aY87Srx<9dF-^`{|JP{B|^i!N=RB zw?KQ#AI@Jwm4IujNnXi-_bIgC)sBzz5cDHrCqoOAN2z!EAhmqOCwo0)&dg#TlPs@A zI{I*|q1`KFQ`}VyVI`E6gl+o0?8^08j?#Wqe`d>k6OlzPW#P9PbxJ|ck+|C878)w+ z^LH=PJvcmxB6L^SR~WDw06@Sv_9Lvy4fT(0kqB;7@VbROVZP3;X!431@`du;lX2${ zdLhh%65*6L1Mjar$orwO=#c0O64Bn{x_}v)Q0r0?nFYgBS*JbRMW%YO5y1x#6Mgv>BEb% zmo%0%X5C(H6M2;7c!ag);3j!$0P`}eXAVU*`2;7kA(n)X=Q3Pk9WZ=w;|&_Y?}AXT z36by-E~CQBO%hw#bM76B@BJzHLK3uIrgpBXSnzjdVA&hSBvMqIH$TOzfzax&_jn!_h;_T!e0tyhy;Hn76~DmLcbB? z+hkdvy)U)T4Qh@00T&d15f~jm#1|3dlChSzzX?7#p|jhjp&bmVspYcwD3v$fpYr&bJsZ+ zWw;{tC$)aXSyhkZbO+RE`=Xj&jEh;3x8Ul=dCCD$H<%aXI2s?>Tx>e2xK6opv`PK* z9x5}8F-;LT<^oSB!b9#n(93uIv-=@xDC*Y-&|vVW+b-Ed>gQLAtb5qGoc+RE8@@b4 zNU$Y0=?jl`++TvucXyHRbA0&1tz`_%vyx!{ksE^0AT7ps-YI`%+40movKDmBLyfJ9 zJ9nnfnL|nwuvc{Tv+5YQd(QJrw$8ELM0O}#v~J1JzBeMWRJ z`sm}Y$-Au=qs~Y2U``AYqf06;!f~qWZRfzKS;tQt-1kjM?D{t_+O<W$ zolD-i*1HrmCy{AUkbja)H>kh|p^2>Apl3gX>XukRsvQyn!Kn#tiD@{c`^-e7E_S>y zL|h&B(i$s|7DEq!N~CbL{{q)#{wauc%0dxRj&;Q8S^j2A5q*7|#UFSY53NAHsmy(Gx$1?_r}^83vs zVy+g*I2XN_no)6fL$sk9ZPODtyxoeb>FY!k6g9==-#UN0a>@>&WcH}R-+`b~_HksE zPWWLIH4Tjp%~r))C+r%U_Uh+ z460Ti5?@dv%Wi6e`Q=xh-x@7Z4TCjE=uxoM-HP|kLQ@R-oI2vaMB=EV^)?$?EO>Nb zGR`O5f*5B^0KZ+wWcW0I7!ar7K!GPfKZLfAMuna5y?k zy@mU-E!u_Kho57Nd$e3FzGF%lux&OYAw7o32^_@fz4EMcR>yA zEYHpRCM6W^?G#w>#i11GYzsJK6e1dLq#=3*Ty=4OmhUMw@e6-|2NU=0-o_nq6zXGE zD!JdDRZE-5I6+0YBK#Dom+48UCts1gt+_U6=O`0YIOw-J8*fAm%?!P^XJ7WJh6XRY zOWc;F(Q(JOz9TK<6A;=+Lt-z1+#xhmeEwzjHSHOhEv+#uv5ONQTe^OPe^*#FMyn7i ztdM#*!p`I&MPLubAJ}cv@7HvFJI9lX;nGncMx=^Vg+92*Tp>5iy>5a_248Vlg3iK4 zD9%uo`t$4Z^VG{ID02@63QD#dVz*#x7@2ib&~%2O_c_!hA0e{_o?sBljM6*ELNGye z%~{4kCxkY9KsN<#q|L+H^CdpdCjNRNns{o~oeSXIH@MnKq93{au)&}1T@b0;5|MvI zBe%6Yb(M>3fpn4uQSQr@xL@`z9xef$R46CL{q@MK8Ng)vr4HQd*yhZJYj2{m_kr-i z?2f>uU?W-@r7gv5S}k3{_YP@XzK1B*=NEEbcW=~Gt%OIVO0RBR_sE#MK2%ke_8;$E zmN!gk+{&R1@#oA{Z@&4DhvwE4kN>%{0UtZ787XSjTcb9r3Tx~tf}%Ti7kD34R8XYX z5SLI0JYnbcXl`}A=kp3z%T0f`tslqMJf}{cXnQYv;zY%KLc%j>R?x6G-w_BdEe4sv zfT$MVF!vXx+3&=lCjHN?fL8%DPvW$8#9MPZ*7DoDN3`;R{H(?QLPbG~=712a*L9@I z^?={zgOB$v#=j)GlM>f^{^6WpQSBWMJOJlq)FJf-(~ZA+Mt*Axe>(GgZ$pW0Ojjj3 ztDqiLv-)Ny8)yfO2!TMDEob(G=Z7y2zx$xRJ_~QewIN?s%huLbM5|TamVYI#I^Pi+ z8#|ZqM25B(mbY}&nA7=n1V{uwOQI;I&^t$Mm&Sb8{;s{Ks`9}_Rr{#w${pTdE66VU zI`fPRFW)=jG52k%%K!;Vf%4o%p`pmf z37pPp_=Qgru8ScMjpy(<8~A7B_*mpq?jx+^+gI3EqZbz!8Q>$l3Hrm=N2*5oMV_hB zd=VgiI-Dx=$go% z>UN>6y^@t>=f-NM?(~I)idz)U)j8Y5ycFX-N)T|k$gsFm-Xz&oFI)AybQ}Bogsc;M z(l*~kF?1@P&Uei}{ux#)prkcMMS%$|{vfc4Ot+7j=9PG>9i^6==aFB7FtO;rxVnS1 zmzn0vo1N-!+^Xj}AA)#EKJLtG=4LnXmt7^j-SrT2XSMQ8Hz?@|5diUI2;JU^?aOfL z68z(?Jktn_V@5C-{<*lann*R64Eg&>4EHy2e*=c+Axi*CHK2(VjV|PfoasH-zCJwCq<~vA=KD!y1(p1bV>Ke zV?Q8SHdQOof5Z3e;jOgr<23mvW{KO-A6VAHu=G;X(kQXMeQW$Gf?7sPAjK!=X~j=$ z*N^X@k}ElJa@#=Ia&Ou$)J@Vjrhd;Wf9f+8%QLZ z`SF97VX9s6_@nAqUmULM4URkeLY^WEi^?P0w52y(dl0oqq+f)ZiS#Vvz9I%mgB2#y zmt8#M-wI!|u_+PQL$~D$CQ0wjLR}W6ze!EEG>y&wQ%yB;x;;rx-wX;m6$!nmeu9lB zX~#hTxd0qzCdSEx@{v%v5>lthhK(w^T|MVu9Yxa9|CWzj_&4g%>p+iwJ z=qb=Y-AtER)h4cr7H^AC1s&1sAZ{Xr5*I%r`Umc~cF6J7L(mbdFL;MgW29>lknc7% z@?v^(zN1%G-kq2}Q-j!-FuOi_Pd>qNDp}%Btfj{_+2oUt7@3}%EDpheZwcw%@`J3b z8_(k$H(a{nGnTa$>I$>t1Y3SiU;ZBOvoHoJ2X#MRS6D;{s~`jo)zZ;UP9+{C`Ai*8 zWN16(Z85}}0&j48mJkT(uxCpWGJENByp2Ap3p!DEz}*<0b;nH(vd5(-Z+@q(&s%J8W1yOh{>=0*C-r-FE430R3@ls1WOJvze536n`+ieQkN0h7WM1(?bv9EZOncIHbAMOD5Kii(@isw~^nd=Z-jJ8#^K1_^SUw&+|b_yxv!A8&@Z^pj$*?uI{(mEHGNR)Hk%wdid~R zDF|;Ier7&1M-Yw_Hd{y3%fz*j@i^3ln{j#*e9lQ@!LBMTVHgk-<^(%^RJmn_U;uMo>7TadKw5qqA`{|ABfdLRT8wAj=+frKC~_nw?I)Vlt3W|D5VQiUf<0_T4iw?XzQ7!sdSo7NlDq% zE6mb>R+#CiRiM5JLMmd^q`4X~VF^d0oZ$Qd7;@r5o=MohcH}+y@(2-U>0GnGgSHuw zzl;Dplx(HBeQR%Us!oFQ(`O48hFyYFfBdLlxWS>P7;9OHow0LImp%ZLqL|j0qk3Tp(P<+?@?0gO*;s<;L z#>E0t)~UQ-(K!P(c6#z*vD0Yt`<=I<)MeQ_GF0mb{4eyATyaKD+aTUfE)hW5`AXIU zo_n7+7OL8}Wa(|BKM6H21;(|+4FnCKKOM>IfdoKV&&Y8zg1f0}xdKXr)mWv%M@ogC z>1_f*!$&x&)f|kXe-!1gGsHT@;=>ttHwZGmbG8oPcwYZz4z>-IzHsn4q)K@7$qEKy)|V zBnh?Ii0$DPoxqBtM@2TUSgAvOj&lA?ifPwjM>NkR-sNY9aYp?Kql7@>V4B$q@B zo%Qg=K(vr$_oT?hwota1Tm;a@F3x5sLv~^)!s~f1T+DAz6odg^h4M9_=Wd(}JLy{Y zf&l(66;3HD^B92$0*}~L^?#p~UdM~)VAc8P@GyPWuR`4A-AK*7>a?|S zVMl}hm~$!Vf9guv7cXCafw^!(5C&K&@|~OE;q-(I+TX`gEV*q-X;_zeGtrGCT+sxG z2rTe=0wPTS)PauYxNI`93Cq!Cg9ZYlNkSDp5w-U{@!5JsYf+ra>pwDbGj61MA1r<-?TCAz_e$T;oxZ6% zsk~BO@9zIqF|jdD!7c6uK81!&Nahx0m~V0{kx@PzL3;Z=ZGM@BkCdOK zmXl2m%AJrJ_NOG06;3hNPi@M%L`G@(uX1VTd-nVD8~XeSJ@${oIC|>D+N1-}Dx!y` z6(!j}W3tD0c4E=z*BzT-Y;fdQ>byeUQq(9btnR48KCt-gukOz|#kMJdSj#C%m&_j1 z;MV%hu|c`0`w80#dY`T zLq!KnE>!*3=IpWIQ}VzywXl_JvGVO{ddpH_QGq0F%y|A1i`P&I7v3nM zpT1^?UAOz=Vb`tczl}=zs%~oiT|&)Qo)zc!KxVLjH(g77m(O)j8Fm9uxAFyQ5=n|b zr#fELKnjwq8#m_3kpAg&f4}rYEzffcg=?5l20*!#LQ+FJPMP@e^Y1ZkYAK}8Gx2xY z+oQoQ>1OJ@#gdQ}$alL?)^6=Y?6+^52pu@(K1}f>%;ipZyC7vV4>pLts|Kud%d4_| zzPIHmkfsJ+>-^8rm0jH5d^*HYZ>@N*fW?c3;xU82`JTs`FU_B>6Zz{bQA;CFjh$XL zc`Ns2!xW7kBjW~%Pmk%nCZN$=sZQNUC+ci%<&8p!V7KSw}@aTX6%L(A4L zLPv;3Vl5XwFJ@M@0lX_P)RNX|Ng~9w_M#1gsys|+?)p^w*$!5+*PHK1<&`B^j+b57 z`;->PJhqZHlKWI4iqvZYU0@gKKuh5kuc|>>Z`mOW$RZPeb~{vkXTlP`0Qeheu{9<{ z_(ImVd)~4&jWtCw>l)EoCoLu)nTfcc0-(<(vFkjcQSj&)nSB_o_N)Zcjr}loJjnGN z*lQ4LAjL8GY1EqFI$!|)fZd`A4bEbrmgA}kN9sqHRv0#!IlI+9Le_XA@JXavAm!?& z*BzkVq-Uaf6$5-U&i1}4hIDaQi2u_Ubgl3u$)mCM%2Y?aN3Rw$l>2CiCS+xm{T%H? z_+7fzEF`pc&rJq78_3*&BFf|T7}|ezm#%)mctl6HlhZD)!MxxV;^y!y!R5&OIm!Z# zWB??nd>r&M4x0nCdLg^q!0tN#5rxW&IXPoQA;V2szL zmFfH&y|t0PnnWm9$DNPs{V2DK&+y33%9_!M!|XvD0EK3;Dv|!=CcgSS$Hp z4#sC}s}oVv(_I+->BQ@I$MpE4_gksCrI6vYgKo>pQ(s8^u&(n3Y4MYWW@Sa_#GNj) zZrzx7muBny^vMj`T-bt(*cH@GV{)^#nH6yvBqs59E}%I)hJLOL(9Of?=WmJ{K0b&rGo&ikUVKhLUOAWF$OEo zoxor)4W0~J7k1^$IMK!AQ+q$0j8QfQQ|oZ2W$AS5!(&AaKU{cjCkjPL*~j`*#wP8~7PE6~60Ae$`Q3V(5s z^hfM^{)}I}pzJErry>pS3c73zO_I8@qj5p8G8IF)iKB9K2WE zg@Wpo4%s|Pm?>BqbZOU{&z9$&&=B8M)%?ZbJR8*)jp#nw+;^i+J1<_mIJgDzXwu-Q z@D&O&Ad^nK^(dCXMNHb>=gvTkuo1-QR1C17bs~=15OLL{Gkh$9E!Ut7(SwP9Z}ay2 zF6NN>8Qs^T%LVS+m+ET&B} zOv^J>u!WZ5A&xl>K~}Ny+zq@u?EYZAzGxdG)WItPeYndEananaPEt6!hmn(x|xlgljRU-xhVn z@9)r8a^NH)L+7!WHhO02C}-FZc##MaN#$<3EeyepBi963CtPdrCc2dlOm8D02M9V< zw7dOq zFVKHcZd8xS-(SAqIZzfF5#qz){6P+VIJ}UJ0otPy?jV(6g+k>3+n{2{i?zjTooKji%&BhTrO6&+I_3m7XzjMhb+qyTPbJQ%z5!e4vVpS zJQkCAv6jZ&NB`hCcq&C^AT_z?J?(}NYskpdPIe~xpeqDZ9p!X#mA8v*a&m8f|EgPb zKtE(qhTFJL;%;&0QoekOh-t?QB1vcm^5G=T#*Qk{2kkf8m>${}=ndQiRdeIJ7WgN( zn7PM!t{(tHU2mF8L)mZ4`M4jT-(zU@>Jo!-gy|ya>&Ci$i>)xzy@!(yQmiz$91@Y^ z&KhEE+&$ASZp)wk2|uS5mQWuB70wMO9H>HOQE>aGM#<6egKX^=NY z0lU{OvF(#M%UoY)Y4F(6AU2Az{hC2nOmw^6lnY%PPiB2#_8#8guZ8n(wgT6hj!vao z#XH&Um10L#lY-{L6_5yH!5_m9wrM%EiFZ?ZComJ9@~Vs!g6AK9VL z5Gf-%mYIqh9C|yPWp{o~ZCw#O&lp$&mM#25l?|&}oGCuma{HxFMZ6^S=~_*7WU-yTCGAk zJCj!8EDg|z0VYRFkaa(1m%J-OWC7|UG7l7iR5=A+d=Tx z`!>IP%hI3`I~8#~GQxuFEw=4j-!g2i6sgwjA_I=3Wpw*JylHsRifzR#uBYs!UOU`! zJcMy>Cnj{*$JtiAt5?Vtm`(L{-oV$iT}zbct#1EY**3P~3sNmoq9&ysqq4HT5KD17 z8;xts);9qe#zmR|{ALf$ZTjo>_Q`417fBBfr%Zw}pJmi_{122&ck(l8)iOW+>3U9MA>FwCO3YFXsMygBYLo-X5{ohN>7(n=t z5K<2!K=XSqeTm#~0k~H!+{nwIm#)M(U%#>vt6cHSP)UWRa-nW@7n$ko46u&*Fj>&=!4e8tRUy{pnO)nc< zcs1^vakvHCZZtI9aiud&Sv~9Ofm5et$~76+D|L!rHKbHX2e~4KKr%|_W$H6+by{gdqn$`|5DyS8y38k_eJX<)sUxX9t3tC#uyg}trp zbL(FDl`?~Tudw3c;xpx$W2M-G&SJN$GB5ZeLlPi;qpL&uwYhBaMp*8I`qZ_nyBd0) zVo4_b0 zivQHp^C!G*%n<5+2?#27fG{g%-OdxBbsWRtNc;dEsN*uK)|aTc8A$NF=fqmF;1@!( zoDcPca^&wMp^@5)=+`3c1F!u)k{t&5-RONDG>8q_bw_kl?QYUEmO%}gjeZwocc=eG zCX~Zfz_(dy>8JS0rZJ@xM~yo*zf6z2lo&}NtK|uYJM?vfl*4^kD+dC3t3G-eM?QVA zmzq%7*bEG`_rc~)M2wv5sqx!*0MpwggV>7l^XiOLC<8y68FWFdK48$cJcTR8b&6jd zfGT4Xb}qI~4B8Xrbhc}8C&V`ujs*QT>^F!hkwPP2|aElEreOT0J~K(E6xRQePO4H zf&Eizw)4;9Zd3%toE6P{nZ*C_JavLj(@uybgtU}zqp)e(nJ3a9)a6no1yiuRjm>j@ zB_^hOZ>kbA!cH$siHpXy419Mgd%sK6{EZS))=ZnoAvCxAy=6DRClflm96aT=S+3_e z4tE~71DCl6x^4~va({N zDSA|Qk`&O<0Dn5ex;O9A*yHOGUU7X>>z6DnMyyFDx!<;FGT-)=^}CnK+lI>$XCl)D zn5A^_jm=*e+)|fLvbywn;fDn4R$&kn+htmKgh7=+CFSc+UV%&a($Lzy^&R!Ur;b>0ngOk zM~5y}WZ%e}oE>)@rO6X)*{UDA8Hr`CaS_%Kuz`o=YzI=>Uk54gN@%xL7^x6ZUznAZ z*OzzlA>$k{Kk~5bq&az2R#%$vtwhY zxy^bYN{LbaF;zYi6l6E8xK{B7PdrjPgqLavr~6EP-%f15>KJPo!;_PfVXeZO9s#{w zGHUhF#Ei946;8h&PG!DEgjfF z2Px@*(#6dr-1GvQMWs3D8KQWsAJu(9S8GEJ1BQMsH^bPMqGY-|;GwagGqw$m_}VG=SBJQTK%L^n#~)%jF};`w*Ct-hmrsO@0zX*wt&-E<%!f5cf17;ut5T3wG6( z@UziDIP&bz+PWel0|PRw&wkmMd%p$whyQ8tN^c$ZiJpIBh6~CFgw+QE|6qISm{^e2 zt~qhBF&76BnhW|tYsB6bYkPqf7Ma$1E8AR|LN0rDOv6m+Od4XL>| zIx1mT`Ma<(wcRK)V$fDjessh#7z~F}OM`=p zwjDp;UtyQ0Mtx8zZ`Yk(-tqYd-mxageVs(e4`F$_g4MW}WYFzGlH#h32`zwUJ2@ev zEM14+2bTe+QLgL=7Mk0YyumS3RfSBhwlOmiI=NjXFJB%bTc{a#%TXU|HCf`3f4hX& zmz9)*{m%jv9nzDD@&nYbT{$Jwh3W+tU0&u-=%FD5!IApbuMe2TF=PqVIrdkd<#R!YG^oR8 zW$kp=f@U8Jc)X?$iF0n+xfQkG;aE!_|GCajEqLv0pp+59rwr_sJ^Io^n}{HST@j5l zOjI|~?|L}nRK}GaEvpL=9ix1|@nDUZItFkz84C?PZZSI99Z-k&8Mji4*i>HMhWN{V z>-IWxRQ|Nv>w3(|4J)Aq%*|8ndjw*XZ!13*Q7!sAt$*e5f9I#+++=Bp@5o(Z#|bp- zg&qqn_fwY9seMoSOJ4stD6H$uncPcJ`!n$n7P>HA`aRhHPVgv|zkh%Ds7%74Mb87M z$3hGGbaXjY`Kox)75S&2^W5B6Wwa32-jf(rvn6GemCdb_;QZ<2oMa{n}re(DHC8(Qi6mRkK$65|l`>uJOl}K9HDSr)f0@wRlS*Ha`PGM~W z^16T-v3ZIHQY_dYX&b4?Ha&GLw6Hn+Id8BMbpaG9WD7A_c+9Tg@1yd)*dfmFNqp)X zaYJCHlDo6E#wedPVf?Yok9sx4G2e1j7Jk-;5!GR_H|9ZRff2h|#I{K<;qmop%k+MxBLJ=8Tl=X76+)<1rQFBYS zrYYRHWJ$7yP-H|gO?I-B8X}3QMu{kCQBhqJ65liT`#*f=2S1H@&FgtS^E~hOIp=*2 zDr+rGsU>v>w@wwJY~Y|y7!@~_JgiPbr+!a!@}+Oy;}FXAkvP}{mEw*jVO)-Ii*dWT zE=7gFEa-V3g|5&_S6`oIK7CaQN5jDVZ5Y9Wlk_lUuplr`Rpt91kCd6Np@TW#Fu>F4 zt%{R{&r8kc9$EE}&$p+ZoqJ@|6T&5T-Z~+)MhnCzH2G|sHi7H%q9OmpMt-W0qGAtx z>2muuyB50p@eMH?w+!F21qNQ2VO8o-I4R6q?ewc4OngIEQmxI^dtun_n|_=F!&#T7 z+Mq_Nuv#Ggp_5d??F|2shkyVc>wcE82{`^~D^}wL-&IWPK%paS@f$>>xjTYKO`KhR zSt+lYM&^k}fJEz8X`(2=hd-w#h8I7dd?aLf5RKL9P(I!R2&&0hJxX%hGu|uQwBfC81!)6=VeRsf2TvzQ#Nb3cr|Hhyk8Jp)Z)(`;$ zix;}K5Q_ux-sM0^>er#?s1SkxaZ)mTw{pv`C0b!#e=`V??0uVCecVpN(RB;3^rP?M zzNRPWXR~aR%#}=5iVnY;KIIwKFpv_Eog3keunh}aUQ*VMV81T->fS?1xUA#nom31S zr+9M?0RXW{83{ae$RG%|I!!DVYkOL637q=(rYO5+geXULFK<0m(UvTKprp7!bv35{ zTORFV2mN?ZDr`DFWUDy4Fa}oS&{Dr`JzE%ySnb`L>>;g_m0S_45#i0`eYjokN`m1& zSGTJQHk=P+0!wc+@6D4zK>`F&P7f%$F43oK!1TmJuUNU!8zXV}3V>Oz^t&7%mqE9` zjrxf||8`lJc`Ht+QMUf~lr)&A|3mYx%+fx8AHxAt3r8u`lz2?c8zy&j?24)&xXVy6 z6mFtmd}?auknRkt?Ty=Op#j>AH)_P1zlIkOVDr@s2}GZ}O+X-8opD>9QLp^FunVM4 z;I0*D!03S3)45!(Jswq!NLnnJxUK~@Y4dXDEIlCJ4^)&)MmLWmD^TPm@Mx1n%fh{C zMPV>WMm`9R=eL5N`u&r$>!?A%$`}Zq(EMF$VUbfn37V5owkL*R$$P^5lMEG^Bx}?l z=oSsAL1uVsy3oHvmsaLUGG1Ej>eIJ~WG{Ee=*KYOds0yfAK@~*m(Q|HvB?Uv~oaKQlY1Qz&lh|bKZskwvRgtE>BAXq zixCwwn-Am2ZW%yDypJw;;P32$cu91Q*y-kjiDkuUO|Z8(2r46bSmtjJ({Q z6<{)DsptYL5TFT`g>1{h9(@=s7b9|Q2A-pFgfCzC?0i)J8&R@bbNfz_#h{$GSJxSF z&!~FQ^iv)KOIs-X{pZ%{SXPoWQ1!zQ&92+8LIG{yew@?pC}c$U+xB3$SD_cyYEJUcEq-{Q&OXSp8INqqx+Nu6NMMZ`x0#dat z!ke~$Ceo7seR{T&nXG?3GmNu6v-8)k{B9Fk_Gsu4M}%s_OQ9TtIoAox)`$*Id2?zZ z&9^UmxpQ}f{~QCh`w(&suCe$E;p>Vcfq`^###@u;V*y|@^ifr9XC|8=y1+v07|W@o z7##?&r{{_eR~nf5wbtQ_YVoh*FfU+DZU@@99#_9__Nae~GDSn|Za9Hf%=@qxWC}Vk z8uIv3m;8O#hW-nHXp`oJJ^OgNWx1G5sE36eIs>Hu8 zAy~R*OzK4%BG2l}M&?amHEWp39gqFafQ|V9K79!_=wf4DI&R_$QediTJS5#6kWO*x z>Za2HB_PG)$0bFv6^>F?A~No(Ql5())=kYpknjTl#i@Ah>VXzMGucH5IFj3W>F)sV zyMw1j{5Fo2S7votty9SB(>Ik|1gKYHh>eo2z)MC;hwJV*$np40JUDmBrl^$m{7=K4 zCr|#_gSL8Ct{od;SOri$M@fFGW(9mlt#0@6cv}=CbEerjIp=?O38_ZVXD1BqVb>T1 zU0t0kX%4ml3!iEY2@)Cmrh<+0&tz#=^Bft=TKmwa3Q-6=8Fdt%wJlE?qrhWW$qmtp zvYW;3g7#zM2uY=KT;Dyu3~F48c$415yhf0YwsAXxD0iy#+J^L56ihfv04wL{S`FUQ&RerN*vd*}>%TTx*Dq?xU;6i57o=+hWsmMmt9X_T_;DL?q&rl4 zU?crfGJSnfCGPKG7-7Gvt{6U#GZtj!`j{)-pr`vw3Gu)ufEI~8M)npBf2v!w6flnxjz(3jOY0^=61^3DF4Bx6%WMiMiO`q-7%eFCg zEFhQ=eYQppGn1*OjPqfo-}|9bWJm%P-LbjZX^Q{UYr$$t63zgzkX(QwO(UQ z(huSH+ajHCGOS~kge;8IhKT`Uza0Y9o}|8MKmL-RI}j|J;fs9d z%V$y^iJj*fjm((Q9D&K y1&`?JNWgznv0gIl@t{$&|B1W)FMn&72;E+*s}NL;v2NkN5ZNkgD-#gZ#!+5b663#2HEML%x1IpyH&Dv3nS8K3HP}}NT(cp5*(ap_~gs8{7KGAiUw$7LrO!Vg=G8A z^MIH3EOx~|pqi6^h38ZVg&+JOhURlZ$%nO_$j<@^?~sGy-;Lj1`j7eQ$+J+Po)+T8fZRe(?qn9#Jue^ zz?^(!uGH~tHNM{gE}g*q;I9;N?wH45UE$W|=F3wl+#ogi@4ugEk%@+92i#l~zn?0( zj*Yn~n-7lX59Oy=7`d6+iR$R+Xb!&*Qp-g*NN{2rqe<^ zQvOce2lW}gZrZ?MEA`hAPP~fCx~;Bc={qzZC#fI`IJ*VZf_`spf!?k1))!{@ z|H#$hMveG!%=>Jf3{AgS;D&`Usp~cicY>e5Ef%xaBWQuC;P&@6*3T2KQX-d>bWmXc z;&-p*?yKAn45MURoA z_^x6cFN^sS2>zj0Xhd%2rrqA4$`npYuYyH|k20DAR%dmHl}&7Pqx|8dr1h|Wiec`^*p zzfm;%E7$$M+|C^*PhPJ7|MH*P+kNt0m${e5j4AH^Hc5QDe*&`(2NWEb!ci-XPKBPnI6o^XZ`cKiC4s#7Q46$Oani)8zO}y(!W05&0XmdFYi2=Au+AB zn<$u?asmCM36mhxqdNbhCiebyfSB8d#irL}dLo|l`Q9OIY4g*=c4poqKVR(hkRH4& z#i%r}-9xI}4|#Ou&VvmFGKT_ody`-IMsSK0zj^$_@vU>qYPgZqT(#H_$XpX!LM+V- z9i8!C({oEDs;xG*nK9cDaEEK@^hzmtS?$O7-!Il!?((4nJlae_&Gy_H2Na-CfE9Y( zeNHc3MkO@Y-m_i1>ea4n*7N(Z=V6M&+UiyZiRLz*s_D#18_x~YkWRfFhX+`>4b43K zQ;~r}nI4q!o24>yzW4i3^LKkS)Dp$+ts*90yv}+?mn7hN=PcC{k_{!~U=_VGy zxtEzD%q?(Z?`OE5$=6)umiTUU+lG7JXJKrpwRAVN#9^~yTrstx&G`TwoZPVzzcs|C zSYy%%Dc@Vp&Tc%e6&dsWqf5E$-?SU9X?G@ot~V>+3n(*I8df|~s86)s4EJIzuTj{^ zit4%<3Ea(SKTBSJw~*>^{}xPtD&X;PPS_UdlUm8{DE9FZI%hEB6OOgY>l2i- z^{DgjZOTM3#oip;wUn7wv{#=2x2xA(%`_M5lXH$JWQAy0!Sc$r8rf{07&q9#n@_6?A~Ds$TM=5<2FdXKw#>Us^A0{0%4R{ZgA!VYbp9DCOYUVH^Qq19XO4vV@~|K-FP z1HlK$R!JQ@X|UEmoedH_ulXZV3*t9#PPMq^z+ z&Ocu2cL^;V>wMM3HIQbRPP%>O`TFvL`E&?eP?I@$x}Re^+RD#Qc6=KUuyb;^k8NtY7tMM55fk1~ zi1ciel#DhvaY^b^fm9>KFy*4y@t9lfb(Qqyrd|hl(Zn7>~V@m2D#)dVX5J1 z;_e@_gU?vY8)=9hVppwsi|*)%#2Ek($y?Cxpd8!~#(K@s^I#C@h_y%#Q~n*q$;K`O zvz|8~;?kwzOqJ7B^a$oaIx3Kv{n3_#7)PT-JCzPuLnxuR+T9ASSr(;xle^Yz$>WDT z+uJ8*>GjT(5&sekw&hE(H?*FCGA=ja*!SJIa`-t>Us{wIuypxG_$Va(*jLN^G{djg z_nW>ETKtlnKB$wmV48iLi^*&~0o5vXZ(g+aoR|Aftn`Fo8QNd@DJM81R+V7Qo6UJa zd#=ZA+0WxkRMdL9yw>qdh~qOR`Q**Z@WUUs7hgrdkMAvw1*?|Q&M^x8vg{9N+}4Nd zi2zEK(~DIZT~*;Mq!z)HwVUV)jfmvPcn?ucm|FDco>|HUdE8md*Z4;F$r+P*n7;y% zl|+pYtq-E#j;vWk_1xQR9(dqUm$#Nhvi$r^qaEcbYb^>@?FzCZIXsk+U1ZfPJ^CHp1!U+Z<2rg0pn6`1YUYDms$ZkMV)<=%}O!cdA_|pS@!8nPB=WH0haZ_WxxkXUGWP}#4m{dQ^)4^#Ax&FE{9fOm)t;{pd!*4vTOTzl z#-F+IPt*BG-Wq{xE?&@31y-OAoj{wtJ2caDs8%satSwt zjX=Ml-Aq0j^?ge}j6u|}s7G13_wk7}B%@Z4u_8L^tr92a{+FY6u))WfuC?Z|r+LaaXPLF5(ZT+RHmcwgWfw(KW93kI} zyYaDJ2lvrQXLBrcVWcTY!$Ern4Rqycj6H0gti~`jAV)1 z`4Oa+x$p@GEf%KK)>)DC)__4+8WM}EfB)??o?$rZRAAfkR+lk+-73#pG1YQmh?Z*J zW?xY-ey^~l%VMK8hpPN?l1Vr2K28s4txvn$wSIKMz~Bc{LEN$MLV<_3ztHM%jF2f1 zgo2zeLX;*WKDA^UpRiWljH{LdHPuoho~*+Sb6^UHnjdf3jrF7HN>)HbF#fG4goaCD zMYEu>D1s8{5W&Nyb157h31ipc>2&Z3r@NK2aEDkQht!tw$Trh#2XH#%F9CMxMwpb= zi2dy2-G$xDL@Z#tLcTpf)45ZeO;csD{-_?s-|n|NMy3evrm13!daXN*#l3J2xLoK; zc{S5t_1?jj!#QBi7g=n2_LcpsMTYm~7`h@2_3*=Wucgekqh!o<@xc z&&Mt1XD_8y1gk(xw0+E|+P-9;`9wd`$YHSIKpbJ;f@zfDfz;y)t8Ptc`}r2=DX0MW zVG-OQj*ml&f-_eZ-_K${q$;GlFF(*D?WeZ%EN79*crL-Y}neIQbJ2j4kT(8XKuojR9ikni2o z81ijo+R2>oo;5qUi`}zd)XchGGuwhP?;_kCRC)_H1V+R69>2Sq|KJ%l?<}RcQ_>Lj zR@a>dkDd{tq{3VU^?C;YPQ&_*z9n-a3E(?qaxN`sc($9B=u9{66vPlk)(qA=@6?b% zxl|q2(VTokYsr%7P>E{%s&>O2%Kx_=KImDy&6&0Mp;Gd4)U_4arH%VtIOvI(H>vDguD6`}y}SGD zSl?e@{|8Rfh4#;DU$9g z`{L$oGb?fb%1+hwXk4ne?Bt?dX-JodQbVhpjLNj~Z`h6c4^&I&&|2>Jv2Gq}vR4q#|2zzg4^x%bbqj}9 z=IvIKM?OBh&L$#Uz8Q}EK5GwqsKWr5pvVSdo3wfUJY6KA>OqFtw=42b0bcUI2&nFw zk3~R}|540=oOa;P?5nHpUC+|_yKx=&HncNLUwV%(iOX&))b}<33Sb z)t2*Hh>iKdDrus8!T6d@86QmN=14u!{&q9W{lhtOb1o;*+3)b1$jvkQ_PndfpCbO7 zU86b>acA!Xjl5%9TD~*ID_0G6@=#~fg9L?M8LaR6twQeAval4Na0eKCh@6Tw8_Fy< zPuXcZS`i-cyZB?96nBfIx4cs28+&r2f6lcH{v$A^-Hxzc&!U4A?^O45rEytRL6i(ndLVXbf)%` zM&C^_k@8fl=gdUi_V78+8Fpvk%eZtiHQdDepB&xx?;+zmP4(juJb(E(@o}kgKQo}i zsoj&Kq?rNL<@6l)x7Smn^g~E9lkyy57hEWik@~5{c^L_6U27kYI0P$RV@rcIcuvnh z`<3!K%lJlscAu#4r6aFT#J@JpRvio-9uajJ%cr&$hG!C2;NTX=b7Wa|u5q z8*$G_*(2oZ?(iBer2vOeQO*?iti_P{yJr@hz!$wyTLFqhTz67WvZ2o(lIcy_D;q(8 z=)cdxBvMt?f=l!4J;;}SHH@w2k4=Z1)H+S3J-c9Pn=57=>35SLwS)}0p zqdbvCDC4c){nJAEBcG_JrfXZFZ`nkiTr3BG`7b7lZK$^AXm2ecxa+P3C-&PIv&ck^ zzc&|K>!+8Gu{o#Z?c8S&7Y&?}xvbsOCGXQ5Yqz9D&!J^TGtVElSL{0v2FlKy&%nm| ztX&7t0Nh)1lQf5)znt$h3$z|&cO<@eU+%J!{b=Y9Uo&?SUs|G{XI}P5rtEPiBO6+1 zt7fC{=Dr%&9cs~*LEKt~={wBLm%Q(!h;a>Y^N|Bg@3EqpV7(xEHS!r^tnor9-JUL0 zyfyE zCFlzXV|5~C(=Ak6WM@ly=k%${1WT&yt^+aEi2{PzegX1DJ4^vTheKNo9%5HSlFX#+@%NN6ObBc&Zl{3=<2$JMBe?j zYzWVu`O<_JyO@(Mmz$lm{0|2$x-Tb^Z22~k0hdt_)x+QVCW#lNOL-&m*v`MFEDtVM zh~vVrZCcKqyT5;e9ypunW}F?nnIuVH?S(Y$2v@MyH)I=2-A-R7^4y-ksiO{ie|5Z+ z7Hk(Dmse+Bho;hBDh?l#x3D zxS(!!Vy^7&UXi}g66S3y6+!S?wQ{9=;SSqdE-x>Hm2|3lv`zm9al3}GvEHqkl;|H# zUibeYJC%cWzR_2E*MC9Xd!1|X>!%NnLKyGuZ&~R$zqmQC&eL*pB6YdS5I>`e+lur# zsTv7iyNFpxJW-tw|Fjx}wXW!l+e^uA`|@|)6F@e227DXoyja)hu>D&nSDJ39?axC? z<~+P|HpG7QpY2i{OkVT$PcSCGhQCsE@w}(qdnA0pOp>o?TIugZ{FVn;QN<~p$4W$ zX{5Z%S8G8-?73|wPOb2!<=hk|Y&GQ40Z>#eYvZ2Vy~i@;R=Y!2fRLkS!c ze;1|Do2;I5IJtpEuPK-Vs?lPEEVc_q<^oL}h5t)^!ScYlY~N$bxKjNWxb?OtL+uPo z+1aj3T&)V^fQ3twE@L`q+U?m<{_VnZVCa}cezf!{`}lHmiJAM}()+#PC|74WU)0Jo zAO&)w%YWz9(DL=xQY&_2-u!wsyGD?XdRa24eLpuT>F#T~E84dTSy$&(X+7r}pM~a6 za?bL1^U3=(%r7qP_Q=$B1h~5JG^|z&2k_3flqns*KHOyg#g$PTl1ZVLwe)i2Yu8ia zV}qx&_DoPAvS;WBel=FEF(>H+PkB}GfJYUx6}(&Crsrlh=<3+vZ*{pCJ*(|^evnO= z-}J+Tec_S`Y=*Ov*{8!s*)=DHQ4o8mpT)*v>kpnqPsSg=p7wdxMkr1m9nC z5^kNunOwv$T_(s$_L#(F>g|^7d}V=XFl7DixJjk9%w(MWy{C=H7f}x9r|Ni=E*kr^ zSH<~GnFW`5Hfh2DJGI`6YU(1wo>=Tvf6e36h@}T2eZ1(`B-TC|a9x8YaH?fT5el6U z(eUAmim@$Yr%MiI7n(a8B@wR0wf1QIC}B@Q6UK)0ksKK+C+*O9*PP?}$FW`G{Wo^b zP&-M_(lLyzAFStF(qHyGd5(*iuoMW<&0(f((sEY~E}v+H_^W_YSHO82qf5JQc2Ete z-Fh#*ui$EDfRaQg$MoG=_=r02rT^gp8WesueCzep^)+)L8f|(Q!AG+gV2t}ZwWnjC zG+{{P0z{nO{>^IrQT5|yr8<|a0LBg2)7$Q)haV*V^54Ji4+L`k_T1^Z^>mt((#u=* zg%#We#HAZO=22>%@H`rHd^J2Iuj$-+RN`)oXj1v7zUO~_OQ5!6M*E-lyYt^e?cu`l zvVOMv5Br3C50_{D>vyWpH=hpx2jm@0khY!4bzDxYuQuMrAnxvIIF96JQs!-6U+V0M zKm81WPMIq@=dH(I3*eG;?lE`nJ=gg$7w1Lky6Ty^G;TYWe4Dk<@S<|$=uU0-{y>kZdXl(6I3%bBAC z|4nt4#2-2iFKo1gdDy*Ycfz5rtHbQzJn*$?ah6EiOU$-i`QAeI)&96NaSWQ$tiBR* z`#0xgXyA)bjBB6rRAM3RB53~obN?`@uGg=ey7r6L$4JeqPM1XPCuvIu{5GraL2)vN zeMj@PUuL%WY0bRHFCrKn}~!cBstq-D`X0X6X|#;qkKY!j>h}Z*ccs!52IY z(j(x8ky1id^qX#P=C6CBY>xZBQT~SzQQSG$Q!>)OX3o&pIiQ$$j2HC709H{dc&jgr zxOyk=#*D`xwQ3m9zR;ACq;uV?AJSKaC!Bhec>c&wfH$7mo4P+m{#kuckYjYc104GF zDIzUBbrDZq3t)qIMk;EE(&<_Z>8$%N?*slnu&tCoQDIkcZc7gLRidTw3zg| z%sgk{Mjg2n-|FV(xBsX$0?%2AKUY`By|V4x({hQGc5vzt*ae^&kc$O2c5%a02nf**(Y(RiytJh`WYml1qO8;aE zEmE%a>UJp`iIntZ!V1;vh)fP}cq-wI+`?uX6U6Sa(Q$ih@e63QZu2{p`&8|Kn{a9& zamw)*n>)w8V$RK_N%Qi^bgdjD-l^}Csez-D*N3R<6Mu~FWFTwc5fYLYU9PRZFY$&i z*OvQ&vW#lkn>N(*(ySaO>E*Yf4|~5=neZ)q{(A};jxm_YbVmv`T~SM=+c(Xnw(@ev z-V;dL{;g6ELTkzvzYNIfsQZl_e)HZ$6Zx&y&#rk}j z4hpEvutRM<6j!`HW2;ANSA1`OxnMP$!9E{oPkq4jo^+upoSn~_hzojXS&tb7qtj815ZNLSb!OAo0B*8k_39ZM5g5MQJsAUp;unXk^G8(T58HMl4_^8|cbZpMK5 ze{~PX67O@O=~eCsUVmU|`JIzPLzgr@iks|e&CmwW2UPipDOMwv$7Um?uz?+Ou#SAcH*HwqX)`glLP{2Ea7W^t0h!d zXdc1bhBtVj-$$Ps>on!zNU<+A^~Z#4yylSDBGon;^V|zKIw^vMX!+K1S?u1EePQ{H znOgqe#gce&sGwJrF8Evf64l{77PEwYDC6&1(AW`SeGJz1AqdP0fQga`tBD-eQH@Kg z2Hweit3z1?jX{Q*#K%6VKi(WMjpm#&97FTOQTn8EqWKP~z5G@l#x~yujGU1HD!}@{QN9?tx|nEl zoA=5N3-P-gIoNsg&a2(dSoh!9ODTi1pjGrGeC>iF^8ZvPLXn9muhSfxUif9oI8VUW zuC7~sKR-WepS{<5YKC_Yh&Uh&$|woojQxwjM4`A9Rrl4Db@DrwbTGAyh?YfWxM>j8pgthtk4}!DpWB zAr{RZ9LIj_x>6TkJsL08trV>j_KvP)S^{})Cz`0ihhF3D%ObiYCn$S)`BAr*ZL}J; z@L?T*YkGd*vTz7h6$F~%omZSHP7?==)Hp=9}C zd{Z4|MtTp`(o=kbj85o$NQl@9K6yhNE&!w8muD>tVNF0HixH#u8LgJOTW!!o?*yZ& zr}f7o%g>EUquvv7iR^k%p6H+t>-^fU^^}4WylZ_I4Q(`LV-W9Tmz{(cR4EOW7RG&t zZ3a(U4oRJ9SCv~;2IDswi^cD*%OhUtHECLwDPt}fx{Zk& z2$5D%^JSa=NEyqrNx1MrhIp0Avh_Iq{HAkcGGp9DSn&T1XfKhbLM^2@8jI83I{)}B zDv~iFZ5H;Z+|gW4k}#r_K0op|mjg#ssqK?(Vm5}dkKFI>OnmxXG!h<)Bl}!6|Cdy! ziA{dyMS3u$`ROysf!PhW>>*9XNx=BLZc+~i-lzkpXo;N9g;LX$YhlG&-#qT%Vq>QP z&uobP)##{P@~Wal67v>7b*g-XGUCYW$+zUnMeCmDradI1=P2Tk8sEME`x5-BH>M3p zh>H&glvrZsbqT%I0tvCLOp?Bfz&mt^jmyr$&2We7av+?A4;d^6DBQL-@VTsUZwknb z`5O}hF{a1Ij+&^5XjHS%P4vMNoB4w96>Mu7ZIi+>cqd4~(!GY7u&cF!A%#*}f z;s*L&#LcGtVyxelSjtAT@=F z7*t$~^6v>uf;e`-3{p{)vY|ju7OpP5?YaoaL>Q^T0bj$r)=5Gitz4^pq2ZY}i{>mk zgta3op(u(t;Z@S7t?Ok2-%F&a_R3E7deir}ZE~Y*7>9FF9X$@D3u^3WriH!Q_GDEE z)T^wpy4|3f5fl4=sUt{)E-GFb`O8EoZTUm5PUcezvlLLW;I0PCb?$scRaI4mtqY)u zYAs@kv}UL9jEN|96CE7L-SPNcr77;HB^zF3WqIMA6L`)!>cfsWgwTEE97!$o?<@9( z3%#u0KC`Z8KsFiBr~;2r9##*%-yVRc6Tn5{dB{%SNV6qD6YIk6BBGY{GVpzB8HFc0 zg21+&uJgx97O{grQd==^OIKQa0D~2s98?G9#isE|Wz{?Yx4N)B3Q6DnAfq<%J#7XL zy$aRMjqNFSWF}VD6bc9{q#6q5@Q@{-=FMX^`@+0BOsYWJx7dA@!vQn;NIeCw=QIAF zo*zjJRQo$y`N*?-BcRn(DBv+3-&Fo{Gs!@_0DJ;v(H@aHZj{886sj~x{*w};1@IWo zxB0eV_|1cW!w|!k^UCGM8C^6+f@aQTE#_uCtKa#QJ&8HDhp^TM>w1x=B}n~awj6&V zIo_oJ4Wu-7O7F~@16eKPUhL|)PuM+fOXp^wNlcPHpf2031P`B!hF z1-7`Rgbhmm)XiuK*Te|tiL>DJS{D0!`(p)Anq(k~f9+b(U!pV{)&E!%nTsc32aOI4Uxf3TIC9cK2NFP9eYSfGM#q_*Mkt~&Rv*Nf@+ zYlZz=Q}=`S_VzN0UvKHLoSZK*bMBN4K;a@51=i0{2Izn=>d7oM+e?+^Fi0XDIu2{1E!o?QK1fMd62_uv0EjR!CIz>Ob_^ zTPgp781{^%WQqw-JR6wEPiJ~$bl2mHq=C=;yLN@q3k7lJwW-mcyD_(EBmN2ANaw4r^{Yuv++0U4sta_494;EG%^c6$7~3*Z2x7F087uO z8URdp^Qce_$y(F!x`o#7&ZR!$McuUB-vTD{TNS3RhB@bo-ThF2&LV!)lea9_TO^=+(h z_S$B2v2abdVAkpRzDgkz1SCh*PWGg+J8hKP6P0$Be%;JQdwaDXq#@oYu( zxu7_AObz+T`S*dM;8eFT5Nbx5XxU=(8H6z`Ocl>lA%|`5U<=gyOltoRr4#FrrpEvAC#!8mnfX|Lv~kU~bMX+IF|*NNk}? z3^MPP$!0l#+;+G{qQgUKtRve|7+a&qE@!C&RtMJ6EcH+P<{%J(5HU+_F0_fiN=Wc| z(^ahuOJJIbfKJDSR2VGTzHID;<%3ZAR6RK-o@6}(S+ zV&mKxbrF|AApZ*H(G~N}Z`a;DYa~~pT}(Av_WJsIC@$a%vyM$Ox51TrW{=T`%TdX1 z0`e7jJ;%jrvo5!6(Mb=GPnG5(=30lrYu>cAei9l~^L6mxS@tllH=9O(y){({>SLqC zFRG+e?M5}H_@g}93`0K58%< zA}6rAaeQ1zM-J^nC1GlHq+?k$fU{hF!`o~HpXZxSb6OkIKoMHD3<^SM(e;%sh?kxw zf!0HeeZ|<-7STM9cxf_tp|Nd^FT%v4`Rj{}%r+q->^%pkTw)a$%S432kEMv=#{ zF_k=qWkx;uSQ{0u1_LE~ik1@x$6tXxZ6BU7KvF40)KV$!XL@~cdexZGx;u2M2qvpv zn_O=)*!yxGdO#KH4W-Yu@1pgcabb?lU_=`XzL6w6ElfHoy3FWb*nR#%dKV6 zGRiTgxxYEZS^>zIK7M1-C9;Rjaj*_${P8+{6F!8w2=p6rpL^9CCO1_v`|P~cfY*aW zIL@QSoWD2CnH&!R-5EgsmXk#vmfuC3{YxV8kwY9YvkpiseE;~S0{ zrn(AjX>MoigDsKV@w(0{z5)+ertmh@C1Vt2dKKhM5B%69aQb-$V_Y7R)-J~OT9`J=^Ur-1{otFVTDZZ4i+ z*1H55pB2(!U0a!LbMYlpz+MhgPO`KkmJ)1(cIQ#UP{$Oif9Rm7c>uuXrl)OKq8trFE=qr2szEV;ss^}+m zb}F`sUuju?AV9W+8}bSUTb?9MQm+8}EhFXVm5+zNVZ4DkmsY++&`MW8??|D!J+L|g z4;e+?nBkB^wfvN;#1G3AV|7q;mqNbd4c?@2<)d5@A#h;$)4v~`Ny1eiMe!~AWP0?WgBoG$U;isl#G=#q7$GoAk>RK5O~F}CZDnn_k({}v{5FmaAERYF z{eNZY**q~E-zv=mM!QTfYgJ4VKjf4g-HCW18J&7NFLq3lz-O!wn;ai{@H_7$ z{fX5>O)pEo=MqXtr1eob#FWclHX4kFHOls?)zaAh6Pti|;pwT3dZFs^&yLL0@P!@( zH9j)qE(*y_262i4@~mzwXP6z-a$H=LH*GJI+dtqU+4|>e`B#-XJ>_5vR^X! z@A^MI_-|G1Az79I#TO~)bY7jp|Vp%yFsKR z9t%I;7<-6w2X8V2xg^b79-~<9ZCeDdyYVJo^e{U#9hSc=o{W?lLpw`HO#eJ!$^#OK zEX|M#0rz%~8NUIPN6wtkbpVQQ7(6&?3UX(Dtyyg|h2SX6#Q;@wL z#x2p{4#WV)WFkcD*1G;kCl&5Nb!Ir7qcYioFYey+Rh8I_BH{#_BO{?bm%xioYNi^< z4Q!gF_61}uV+bYlT+V~ryfJQ>)i-Y-;GOwfWOSM~^MZz%5ibpCepP4Zhx4$Y!D^wj zo+y{13rg1&Y=mFNn#)X)UQ>0}i7QgWs^c3jo3hjS5!zAfdsdH%JHS&qrqr_g>f$Kr z`jqRJll#geHE?&GH98npNm@W&Ei{rL&L`OWJsp#zw)d5+%DwY<2Y2WzZL>aKe@GW4YGP$f>=IM` z?DtqPF3gVaUq6-vG11`3ta;umo+0>Vj`Y)=9@))o{Ri!2;(au6=tBytn{>s?b5`XM z-wil~$UK)+++R>f5u8)hulu&oYpHEPVSTNSUWb)KYkn*r5yt{Oo7UH<1j_e`p%Se~ zci4yD-PSpa#u8tOwC~T2|01e&RuIO(Q8CtZe{$2T64K(_LOjHkAkCB(3322LyO`)aEsPU4TJ`ewN10B<;Qj9w;HFdDTq*H6YtjkTEcV5ykn)Zs}!TH-K>NY)5?Z zDnyA?8M7AQn=7p|vT8X-QGKqb5KlTT$w?j*vHFOcHrSr3PhT^yYW0!Fc&Sg@_9}>9 zce|yPU|<2=iC#+9eeStjn^!ASO*tdDjv45SOUffXbg^P9auKTWgS?%TU$rUzaeQ*3 zU{k{4Ze+>ww))`3)6(|#EXG$ZaG2=p|feT;g5hZ}A z%zR*e@8$CC%}OLUQP6i%Ux+R_e_#7iB*A@_G9nJ9Pq$SyP27pPRZI?yG?W2%VKk5 zIr-GNc^;e61=qGLfaAaJJE$Rk$=38s-8&fT?;!!`8|}4VVnx)r`|mJVZmiOYBJ3C) z$@o#v%mi&7O*IqdZ>WsS&Z|6M)a-=?wea+TPKn%()>>Aq^XkSA`vy4EtPqByYtrn= zXi<0C6MY?p2jR)vo5n~*oR8VSN{a^(VhR7!*E;W<=ZzfV3Cys-d8LC~x59VCrEY(u z?$_Jkc0M(!|J$D-2rJ5NL^93ibI=~<2uI6R+TiMN_~Z3ZKM$h@|S_VzWQUV1*0)kyzJ z1~fX6TeELQ#L2oH_DE#B-r@6u%eeu;aKs(SkY{nAz)z^xM81aORYG z1$5iq0CCnzd+=9RpP^V#6RuZveVZ!cL@uW4V;SdHZd_($AlyIZj$hX=1Z4ov!G8wq z5p<{DOs-%gR)p&qs;yQKT2GxVCH6m{HJ7g_xG$8OD$Q`@HN=$hkL)Dss<()zL*hIp zG=k})7W1KjZJY^`c8>2xkK#0ia_f8`2yArKQmggLk?EvRzIOGVPnm2)-TDt@G7#%O z_%g*I0rIzEUkx4XU#sc{2n(eHY{@ur>u{Gtl>rDOj)iTDAFIOM{5bJXEN8LVN^f(K+jk2v1&=Y1sad+X`v z{&2uGcOc$(FXLDaYGg>dRjZzeU0Y%WSP0#F)I8z%gaQ&^kp(#3F>fl?8{9_?9J)TD z*Acx8^FzhEJJ|txYb`tndtIWYjKYtRx}S{u;D%${8b;aD>1hiZa1}&kq~a4nHBx2v zOewpcrD0iwP@)f!ba}KfWU2YXEJBBW^D_#{#!AD4Exxrq|NGu@aE2{YF97@n(nLAq z(7LB>-8pWRfjq&44cL!?$3|u1s`;E-y^o;IPL*;}!)oUZH2``x{2T&F(k4Tz0dbU55=BK~&KP(J|iZAY5y)FwSW3D{7 zR+FO=a>Mv->E0(*6EdIXVzBEWgWz{wPAD5%_46q&_n~br_qzgk^rBgmE{1dx8O?nY zCgRRcPyJ=NnLV==9Ye@o6@} zj)YxXqX2qIhX8AdB0cucTB+4l_4)}BZ}G%d&p#Kwks$&ah@)na;uh{We*KTY(w!aE z!;d!p5quhQsIQ1#8uFlra_ddOn6JRf;kDu0x3ikO*_}1-hVGTH5Ymw#gc)D2tUb1{ z=7iGql06H3IEAPO+{AxsWf?IX+ca?nq(+OpgHLXu0TW(T#YZ$DAxvr<`lRD-$cJcs zA#~CJ7}p{)7J~K7p)!iC2{f`nOruA>@L3as9JiE5f@20wtc^;_1Qu9MsjZ8rAe|u* z*$uj0HOTd-W~Ch^P1`)#3U;)UQtvrTWbd-I@ZSdM`g3*vnQC)(NKd{fqZQ?)?^KC) zzHPAk?ebfpv=Bc2HRF2f)ZAbGm%H~mFny^{OnPoZZ=-5|RQyriCer%CIjHCyPJnL2 z$B{)jpWV1-*nS;JXtfW$Y*+W0?SEIrHLpS$kK&|UMy70#No3+52_w^{H~;yF-tl|* zU2h8g;YTAf2ucUVHQHd9lnPKBch0B@NJzFCvRvY1vAxmNwf*zL|7J?rF0*#;S#tk( zs;^6Sy}jT$MaZYVOSY(=;kCO~tvjdVQiU{>8F_nL%Qw2h^)=U0@A3wO@4Yt1!N^{s z{w)zXGW&R5BTS!dT@Tw;(Tk*ez^tfR;_*GafW?G$IZ&?R?#divahpu#vQO6LAi`;sbnQk1o zs(Q)&Cm7eJ%X1re5F(hGcT&4VxQQr^*drb_Bn|!rQsUq>ig2dwne62-R5~%VdVl1Q z+4kD@i&JxP{q0;FqtQ=j79QtND_8ES#|ujz`LXu!A;C3bYksV;nsQ4MzyCZ|BdvAc znsl7`cAXl7+Y*>ET~KK@tgDq=*f(*wz3tgiok^#<*B)Qeh1z*va!9e6!egIP3{5-F z>yo%+qGuy1AXAo2UR|srHA@8*FkmAW@6*~^l^T}AyR=g{wt?x}U3en7GVo*rc zdfl|lztEhAQE{e9cfJi(`=>hIZ*O692r(C5=&i7mZpOMBZZr24>ItpD_-gX2{!>la zl*n|Ja1i5)UX9?T$*tu6F%UZ?!E&%A+T=y?-s9je?X$uK>7O_Rd6Dun@Igz<1TOn| z+}lE`Qt+B~uE?c1J=s}kGPy=f+6-%`hz>}o*3aEUqbw)~wWnA4>^Ei(=aP%@3kB0U z{Sa_CB4N|X+6TTGYn{V4FXnRaPI+8J*-tw@RYFU#C;WfJy=7RGU%NJLAq|5l1JWoZ zFr?(rjf5Bo(v8wc46QK0&?-_=3X1xp5y_!rfT2NBa_H`kca6{U?!Eu9_ow$b-ft+( z-1oiiwXStt=XqY|dVmOy+>_tCj1BvwR7l8guO`Bq=JQZ===*RZeIZ)xdHV7`?NjG} zzCJ5!>XYjNg{;7~k%D%QYHt zJE+Y3GpQ$2Qzk6Nm_P{{L>lz==P4}4vAAz1=&N9LT{cyCfTcpzy>#n&%tV`c_%F?~ z>wK0wR8s@O2R@cN_QVtVe#MRzCWhFBF-rr5a)Pml;jbO=div6_zG0i?PB;|pQN~Vb z*wTC-vVko%-+CAcdaY zas8ezH7=UaJr=Mp%a&xn_-U<7Xmhh=FPm(eT1WZ|!pRnNli)c~2S}yqeW^aB?hzaE z$nl#{8=}_B`UXVz4p^>J*}piqxW&@+=c*r}tSEEud_(`joff0FTU^Yp;byo{(kzE; zX-Y*TFWY;eq|!`9|L>eHhzyz}v4YNThqcV<5HgI{`-;)|C@rT;g3B{|mzGyf>JH)~ zakLF=e#Wy|Mh8il6_t`$yOU1zL`^_~>(+ShUq*&OdV8~!z%zAr+VRyYtu`zX`|9NQ zxCKo)Gtl39!9XRd=0IUv^X8ifO>#$p&m()@jhVQep^$kLYRGyvVPPc;Cc18Z{V8Pu z^#UpJ{X)O2@qi3jyAf?5=poV-Usd3(AG<#6`hMz`#kJwUZ`o6~^5j{`@jW(>Onit} zAQE)i-Q8t)7egZWjwLkVaPl($QTQMbvVg4N4_6}g+s8$JRY~q9rr`O?bzu=5a82xB^k{mA@=}6!f#HUT`ck zSFSu!uh75#i}Zzfa8}`URwe91Sx_rAd=5a21*;rS|AJ4@U0ec!g8&Z11!o#E+QwNs z%wX{OQ3`N;K+=lh3#$g!dPDeXl4hyAXjg(x0vqB;qyJL9J%-QkMSB7+M|bkR<Ep_-U^M`0$C1 zMXErrPY5}Y>3713@mrw0XN0`6&-5||NszkeC~EQS!`#`I@Nti&5U{Xa$3Si{2R^jKRz1aK9dVGVD`U-YdbajPC76%y+v8n{)7b}rp=jxkw zJ1tlO(YQ|UkT#ETwx7`>_O@Zr3Hh!XTk?f(-+v_w$)YYcH#~W?*jVvsw%6t_h$}u*f0ir zr5!fId2OlvXCF7`vZ&9m;KJ`$=77sk{k;qshfjWq{C?-DPBIG#vmhkIqJmEBPS0Df zvYeciC-iU|@5vX6G90?n`5b;nA4j=GeM(3Cusg>Bis0I>@z)keTAW@xi+z@T1wQXt zkiiA{p&FoWeGlRF%GU-ZNEIm;08B>QkL2}_pYctiAN}|O9YR916ixBOYs7A(iEMH2 zosKS{%ScY$n{P!{rvj+I+p=2l`~VU{I2boB7LMcnqIzA9)BVC}CBGXxIX3X~^AE`l zbP=7pHeOFuIByziF3P@aG}KyM3DICB!IS(14aju|>I$sGuD^}X7apZ8?{?(Ig68hI zvE;bW>aoChKBenkfK+DUe7OP!mw!;8MQ-RWrz?mhBt z6jG~iHPds`$CN_&3`_6o$ey_u+jQP;F)jKsDbjlq-EMTbQu=1*C4I$;GIE*L!%W3> z?EveG-)%8q`P`&APm$6IA0HR)+sZbZV>Rg#d1Lrd%sCyI{~g;UwZVWaC<-Q?>{rMn0- zK#R2Fe}0s4|8jLOx#v9leb(EolF#P9D4PEbs*q*^>AceCQP)gDX}FK6i9w)NK*ivz zT>MY&BKR=d8=5;=&R4N@VWO!wK8a3b;LbV7(ZRa_X)Ay~>=k9%{M+T1`AUz_(62X+ zH4l~Ry&ccOfU7jwX@vGwWG&==jSX{)1qLWu)YYq4((|PyvjJ0-ja$E#7<(iQ-)$?- zH1|ht(;-j}!08%)d?jT+l&_^Nd$xPon;9|n#(mwaOXg(tvh`0trrhqGhvzNP^!*Z< zkMTRoO(t|Qj43hD*B@dttZW*{@r5-g@|uU#aU zXGR~{lmx%C-&0pzWmACio1|vznVIlDPc|vCP?o$8X>W~~hP!Ig<>=*Ue7l!eE29HkRsdaG4Y;@H~&)~w}M5~JTEV|_Rpo)Pj^#Zz(c59BG8m! zH%N4m7&Q%pkTj7Qp`fY}Pw2N>cRrZyOKs5Y3Jr2ENHc7QxP;4oZyITtra}_n`-KX@ zjcZG;gviWHiZh85%1|%W)Vtu;Q7W7~onBjfF@mVkUe^KVX%Q<|ta<}}_-D_Jt@tkEF_k)8Up6I9_weMy9_YASraI>*Zweovo% zO7}a}Hvd>iX*P+V!*-=nmr`4%5J$Euc!Mcc|Cy|mS^xYavl9_cA&RhwYrpV%Y+H!W zgt1GvfI_0aDdmq#?I_c?)M+93ea{jl9S`HW{BGGoqQG8Yn{9csi*47UDExI(%E~;8 zm9d=74^;Ef)h2EeTVz3^>yw*as}o~$7f+KHGWSMH@?pqej3-gADJg&CT(@8MssM$< zEBKlb-U$YdI`|5~sq;RRrV*pC-Gq*9%XL&P9DdJ=AyITG5KNy$=l3ev;xivR`j^C- zn1!9ziAIuC1F7HVxqhM-$K+%GSWnZj2MqG*2rRrQY^sWA4tgiVC8cfnV&=Ad6e^nu z_QBs+CW^rQkwzGKgQ$G<8A}u5M(uY!o$^Y3<+_CTx%7vr_h8LOoF+ypHhQ7=xRQZLU_TCi`WG zJ01wcT;dpS6zM`7nCYy#xK8sZ^`e@yeT{cejkh8BFpDq}TTuE-^%X^k4Jp#j2wZR3 z@j*e>V)3KggXrL$ZSI`m8)pi5=zUG+`KjBjekM_Yx(Cm%qCYX|3D|$j-)xn&cdfS2 zhbA;*Be#SgB?#}!R8bX4HYwcvM6DUMzXU=PGib4Gy0?wn(Oe>>z%ha6_J7{VW@b{PERvN!kctp%8DMfH z3oEdpvFiVhh@G)Fa*NIc>g}5T{0X`sp4L#|wmv1cO5AEx*^>s{^-(cdh(n4_EoNyhj zIl=jr+x+jFXMWNcP`XIR3N#tVP~E8avA6>pCippnkfQz@y2Bd@A*oIEK9l7|n_o@@ z4!*pPm9Z%jzb?9-oy(NSH&RxqJs6B?hD2(fJTNn$VW>3b@|89gc$|KKxP&ma?B>U< zH%AlP8(5Cvjr5H+0Jl{0N=GK(B+~bfxBz7i`=1ayc7(@PrOzo}<_=(`v|!b{eV4bY zexXZU^{--En5NOz@YFYG@2&cxq2Uz{$w>2>eHNr00niWHj!qRX#g*M%sKwF8D+yk@ z#xO(vW?nE4)fl4Mtu_G-mmoTF3M{(aA}0f{GAN3KCe$FP5|P|iZHC_>AI-e54^e#^ zsC+x|!4XpVZQ}5s^7PkV5ZNfLSeAC;mtjyNDfKwcvl-F8r;`fNob6A8$d61T+W(^0E&QMMxUY*oh7Pqu{r? z-0>QI&c`88(d3_5+GwiU3mygWVSBP`x6f@Q#Y0&?$w4@e?AtBQ(dk7 z6M<>1)^l7-y{PIRZh_0~D3WuZ%-OLuHbkY=2X@pzw))t=)5aT-a)nsP}k4 zPMm?1Ltn-d)D;EV#yI{C4JW^;O{+%iv?W{j$5upecvP4|C=KvWDRbB?uz6IONps=2 zPgS)=qC?*7Igmi23jwLA&{t5zY&h=zO-EBU(Id>st#VPNH>s=rs*c@%Hg?`V(e_+u zAoMkR`jR@{(Z)|@o#bcOD*W!cp%ox~{(4zv7~G4OamrHt*jrA_8bQbw%yiQU01DMW zDq9xzM9?PbaN(V_X{MnA+Xk?tP$I`y4_q96UiYW5m_MAO*slmjpN>QLO7C4FUAbee zMJJKZCk~Q)EwscYf(-bn5z=s5@Y;s8%}O3s3L~C<0o5ff#d3n?e@RaN6IlR1{TD}% z|JT$aVwJoN<{+44M7_QL%6$Kw=k~e+K!R6O6^QqV{9kAh>GGmdTT8+hD`Ie{xcG{CXVEn3*OD_#z}Vva}7Pai4s6k2*zkk3EKzI~-v=mx7q z-<;VOHc}NfxzEN4E1)cHe*1T;Z?+>S;H&MpzVOk%GvVT)+D*Rt{k=v}@lQhRqi>^^ zT*`00kVv0D{dqRDk*7)W?(fdP z3q@U6Vup@tnDUP&&og2upiO;+-IxE~y687iUWbzwcYm`n@tKPjwZvZ+;EDP#^rLA0 z^(4{wCy{AEHj;}j3r2Gq(2>~NQF-Q;SLmDV(~Wg!kOCA&SMKlIv*4xsITMI+BgjzV3hiRU1|BNO_RgAmO_)>LAxhVd4GCx zulGTniySY1k;M zFr^l>@R$Z<;)5lqMb?S8{^YAajy)fid}j~q-x6Rh#9W2#@% z%fq9>KMYFL?+tLRb^PmUxkddMI{LcC$nw=p$sW)WTs4ss-ttM|n?x=8cdROMyf4Vr zjG%If8*z;9?b)#3S0vVa71yx2f`?*syDx*;NrE3cTts*ey<_1&LQfM5X2ZD*1ER^h z@-=_Y#&N{dCdNcky$yZuR}6k>II9d*xJeFPO;h<}SfXrt%;3z#`T05jH=H+`n{jXk zuxL>+!`KvzjZ}iEI`Dzb6!?3eX*D%9h7J$Au_-I#Az-p^(pKLdv!4p@2o+Bq1ub?a zbSmmsO(s_QhF`KGx$uD)r2wL)Y|1l_cnNAZubo^C(w*dpyt4_a+wJvs*vy^Hxn60#CPA9E`@T?_VCv;2)W)j{h+=zNUpA zG?&E(_Fx2h`}>1A_hmaa*O~IpjUpo%b50xuYQYq4NHI~rg}1H6cl{mhev=))|Dx|p z#n(qK7DQgK3HGm=cIFEj$_H`reoIcX^VCcFsP7>7xpokFE)1Zy%sTK+bXjC}lDk>l zWi7JCZQz3oIZux>NOUzzll&)<{QMddM(Q^dZc^O`APDlw>h_Z14BIVJex;L#q9-`8 z$?!kr2!SXo;@c`ghvcg_OgUc5A2tchQ~XvdnK(^b<3RG-C5{f2qrO4hh&!T9vpC%je8mv zzv$=SxcCA52HpY--4Eg3JT8P;pR^=ky}{YqT* z5zMHWr~n`WIAQd zweu8c!hk|hfGIIt4gHDOSjYpy0Adm5zndad$g~Dd9nxkvol$MKpI#d zLlQ}8c!@$^Usbw0BTAF|*1sh1Y;WkB>P3muD)a}$S@nVL*`;ixKtrZ16C zluYk1jp{4e5PS_Ip89H1V%Pg=lN@|0;uQLnY)(!N0W^YUlZ zG1B|Pmzf4s8gBo&6Sb%$GVdK~`~fp27XbZc;OY-vGSb|nx((}T+x!c+giYixSf?J` z*i&k?No04{`|~rG6vS0KLVSF4Bv$Ced0Tc{YchyJeBs6jHj5Q1(kD$$ycEgL9_ULp ztxC=|M@A-!z=l@p{GiqAKRhf1;ZMd#ICt}>W>Q}$a0x7HSHK3hgs5Lm>#fX*D5&YD zyO3c*%D^*R#Xmy~!JCClK%ntHS@HI&Z=`Eom@5bW`H~OPN~8{Ls}mwJr6fhIOs(vE z_6_vE&ARFli|uG!A&oi_Sve5eeD5nc$7+Y0tMjd3VU%C>_{Sp-tUhIYaPLhhw+qfy zd!=^JLl}6(8(@%^Zl~09l;yWA7*jD7?BL+S#2dNT>FKSae`zLe8?6cLk=Z>RV5b?L zW!A_YVx##-G2EtgeeC(kb0XG=D12GV7cL#Y*JR2ow3LZew!AY;CC1)^9wGcv-t{9Si!YVX3__&G57Ti!YzKF1sg1j#B`jw7|mj2F!iA3dc-sYZc zX7{cp6FYFLgybow({`=I@W$ZwpqR}X3s@*|n@jA@L+J+tjVlze^R(d;P>7`A?b|Xp z(T6jUPrEs<2%m4$1r??vl&31}+%LD6L{7mW39#$L;ZxX`MO2wn10?ol#uFh>YhABU z*9{8ywCe+tA>Rvz8p+|YVOFo5b+QTzhB*>q6X$qbOvHRF>w?!-0LuCoJk>=Iam&Fi zP{z;@R9X4L>*r0zN%HB5_gk=GaMy5sPkD`r=WOrXm)FmRTBJoFqmVGMa=U|Kbj(OP zwS}t@CA9qmMn5VOadz|3i1zaA(TtmAYhoe{OonXyev4BB4(7?C!uSJ7`Q!b8T<8dn--{EQh-&T z(wo1#q5hqtT=MhuEmbD(yWWeR!z>EkK{CxYMQj>F$=lMrCYDUUkJ-F{h9=_~MM@nI z?(x(XP&?*0xa+dBs~6bQhIuNoTJNq957v|Tqj{|qRqlLMdIt|e^=T6?P&g=tg66z z{5N|5e)>1{3x4`91)2t)qf?>=#~LI%1aExgBO)G4j;9#LGB(0wmJ|pBpIcRP?Tv0_*)6(7M?73+!(SD4M^7vKGhQ zb^u7BvO!AK%wM18aWFCs-*c<;RR!grEDz%)VH zF^VOVN6hghVtEo^#+4FjH$i%Cfe+c4%3n+-bo8?S$rY^U*#Mp%QLG@&67@d6j_`iG zk#7NVUkfrkIf0^izqQ?71+Ia6T~orLba@FBPiWAH>YU}9wA4~^mTcu&Gm>UA^qyrj zozEtAl)NMGU~lgSzx98zb?F@LwN6>2JlqN>(J4~!DC3}5P`(E8s=tmM^-b&R9G=fc z7Hc$hGGwHIc@N?PcJ6OmTd5Pf1^ed39#F=C#2lDpfTtpn1B_fO`r`NME@cz=@xPlf_>upQd7QMFYg{E-v0k|n6yCM){sBxK2J~*T*(pvM zk3j^FkpT(sWiYuU`mZNyrNbp8fU@{rc-E!MWfsj5?k`z5Q(Y8_&FX{PH7?Cyn%99M zJrNJSoBQz^{CfG+4J1NgtQ{G$vJ%VRv)%(G@qNu$0(vng&3yEB`)rKVI?)2eCI4aU z)-Y$@Ye)Ffm$-&QI@{EDsoorc)xAXlXUEH~!vD_*C;66;3Gr#UsAF`dIWkyFWSH|? zMu3k%izP%J7tRa~CDJ0>xRb7SZPCTt*UylC&!Sid05vz9K0usJD3_ba>$m5y{i%7u z9=Z!ZyJdX;#D;?&B77iethe+73F$TGLBJI$-VU<4tME^nyj%qe8^MjPT)h+8xmid_ zxa{`(>9Y{m7)p=w-M$Bk{-+4?M1*0{XO>t}AT<{55nnpGB{9wIOvCZkDR^X%5soA`KDPQmabVkveM*sE`Mn|F3elN zwks9LC)p@hEHeaRh9ld?OtycI(hOpchnbcYpHG~-CT&b};w_IG?|8P`(8bW>iZ=Jw zlFrCcmX0}@x1K(~@-c3J9$Z zNU<&fb8FC;73qUN)XP8>oE&g!kO2RnQ!$YIVtHj{cdux}KFy0^0n1+tkJ`zwQ$cit z&Q!&-|5^zAHJP>ZB;!{=V)`K%ZZ;x>RR`qrYtG(&`|76P#BQA0ivjPB^v*DGSE^n+ z10rE2YTwk%MVliP3if{~X z(!8}CE=DusXdZY+&XN%R64z(j#>BQt ze^NAWk_c`+1UN1=5i%k&0uX1SWcA9tSFT-tQ(+gbd@WtAJM49nBUrOJ zcbbLvO!@vbPL?3JC^glL1=&{6RQ+adh1n(sSJWdHRo)XN-3&9ExHvxub88J2-!Hbf zyu$LjwK2*vY3>90>2jaeUTQrhZ$^B420nq8vq<#u|Kz zF>vg|9Zb;bdF;xE>Uz88q8kb&%wU&s7x!KJ^$hX@#eMM4?;D?Hw}yL$XE47tcfQNe zSQAY;c=N{b5k_MFA-po)O!Ap*{r=s~q3Ff^B;C^9q3KLCqxs3OYLTrFj}Y#R&XD0o z4;PWrWViRq4UpFZvqco~wb*#ZgZ7r#1gbouyj<&Q8(v({p#0kwYu425_LsZ5qHIy8 za>mm8VgXi+vXWl!P}VCz0F&;ZicUv-^r+Bh;70AKF#WPME!=U8cKkBNIEkKO?QRgQ z8u~LtwLxt*+U({R)e>}=8c}_+Rm7%~wE#ex9q{HYuDpS`*3azLIjS#X5A79pBb#oDEuhwO=C)CAvB=FR3PpT>BLfsG$)5 zW)U%l5A++*<&NVYq(`secgFc$t4EjyH-dgKxu$&&+ekTVQxuMghh+ML?8qW$I<}fh zm54+s)c2*jq5w;s0F)8-g@}(OI@SPEwZcZo8qJl(3(q491Gj6T1G-6^cu$iy*up(@ zIh)MVIb%hjCn(l!-vUbSLZ$;hwvTX`VFKEf{NFqEE}NB)U}D4rr1dTPLfyWJpVpZu z8sMe<2YlEjF}79eNv{F_?~d$&t9iC`1(D3clij=RkfG$gDml*BgD$}vGdQi0+(`m^ z>F=@3k%-Ada`L?wE%>>^4^VwjWsI0U$6+6TeZ=k8whUk*%cqNP0^?WSDpC+Dym?Wh z6jH8OSjeE*r%%&OtAMm_)sf_X_%3XlDjCHBRq9yaF;fZVx_%3F3&aLW-EHC1`MoV5yN1D$z4-+zkAq?qkQ{c=V zU52%dK9G>vuYSvG*0|}p9KRY1Npo56wEotu@@KWjzkmD0CHlq6 z9NQ)7_;bh3C1G4!nI4Cob!~mst`nNgTHiWaG9B2lytOg;E|WixSl4eC_-B#G{j4qF zK+;O#{}B<3cf|XT%!;1e#@bqSkq|eM>-Nhh;P*Vw^3hv|xY%0YfHXZiKJE~j z?4%jO#bnqynB@P<2$s=1G<2}@_8yY!?n^aLLo@^4Db*o3hYoztZx4LcL0b*R?0*=Z z|BD4$a{P~bwNCp5@x4}_lJ26Qba(pyR%kh$>5(1Hu%@M9!Vd5pCI70TWU7~3xb%|c zOwz$?LfTRNt(2@Zn9W8veFuq3!^$z;zPc*j4LGSj@? z{#KIHEq%uyt$g>GmEP#F;G37YcR&T+Oeombrc+S|KzHaGiKJp zVqxx$sJ_F#lucC}2WV=q6*y6)h9)MJBP!kxJYQ|`;-ntUDAd&)x~dz=oWfV?xvU!B zwuR{$7hd~Q&70Oy{AbxmPm-zdl$7^kZOMw}nvj@pqfL3xfhK+4+;lY;W1a=MC<&ur zG9kUhI+IBbPG0mdbj03)kQ21^Jn;PEifJmn-vOmg0Z0scx9o+V1-aqJ8#98y?8WJU za>3bjU}G1i_E)cea=NIuy^wtvG%oF1?FY?!PgmHGw0BGqTGHa8`6=zL1U>?e zRbzlP7fo{_9@O4y+T!mFm~`j^e1oFYeUIfQHj%^>YyBWSPemWHQQp1EpTt+<`A>o! zgYfDf|7!Atk6TD9_7`-U!xV_{q0w52!SgL8-q^i_KqYf?a#E9=T_O68l3QuU>Mtnt z)&oS79Om+We2HQ#bwXE6iuuw*V}dCpxkg@fOS5}6Un)<6pKdnRKa#Ouu+KYxsv4b^ zxG+2wY1413J(h}IdQBMDxByRB6}7ZX0_Bw~lX0!#cE9QZ2e9Wnc1st-g|q9(83q|s z%e)NcHy9JK?n;$tJ3raTz#HAfCo6n`1Dc?XKVU18B_KN5Q@AvCJj#(s7(*=O<=x)h z4|FNJCXgE`uj8?gW0Gy`^ZtaITw#hSZZ!0LX>%dAlEU8GVX9HzJNtL_p4*)I1nThbffpejo)GNtJXjM^{NqhaU)JxYwXlKsW*9w zS6M1RM)Q81(lam4&7V76QiR?4kUhCw?PQ@4-E=Z^>^qxcAkwDud^4lo9(C2G;DOBH zaSAYs|Il+Q6U9)8iEB%CHcHk6=$6XKaA4v$AuX_+-fd3*L2OiQ)VQ^yDqVz2FigH* z9=C<{eHP$2mR)!l06{B|5s-IA(f#g{qRLJ{czFW{Zx;>ap=`sj!nY0WRqKGxgPSO7EK7&K#>IDqNGO za)uyjx6_$bDMi5Y<=z-|Rnk;E=p?*ZNhXZLRvu)lcLtTqmRL4!1(F{$j%oP#_$-Eb zbr^aZ@}~*$CrNoZ1^puZi+xnBR|;rD@4G8rag}`Abqa6w1p?j>)~2~jbKqDU1}4=3>-_YEVh|sqyZ;P zLaaHZ%%NFQHn})JX%u`PQVyz1&0^p1FRZDR8s`u?YUG`MP_25J;~zBbpz0~@T9u^w zCz~SPtE5MzSMN<)WJr&9-letHLfy0BBB@NO(WjgF092AV+wE@@5B&J`!4WE)yKoNp zBJt=UT^F{o{FH(Dyd&t-qS~WQ=j_Mo#o%C2cL#wFsueE#Ber3Y3Dn(Kyq(8o=o1(l zUg|6Pn~6+yGut#$zBQn2d$5``9=u9_y6~xA7pF&ndyN3xYbCSsZJzp+p#bp`O50S| z78Xu|p>tAxw2K@)q|t35^;H*3y&An|8+#&h|5Jixh({5xb$^#g!`DQU+wEC-Ka@?X zgx{~p)fH=Y0M7_FX9~#Pg9NfuJ*qXnxzeC;HbhvY1wtwnEoBTN8Zr&^r)6HI40}D- zKs|={32J4$!^BIv4wmFe}D$l&~j(ob1 z?efE46V-1^=up%8sX{TRofYYHGt;gN-uIk5ccnWs@Fu-?aeMXg&rIl|jy^2Vl(D+{ zcrvlbaEtLgu{4+MTSIN_`BZ&mFR1>iDOjqu>B)6YSPKkM8U(IShYN&!W_r3sIM*dA z3cF@76R9;Jc}A%t12aogyXRi}mSmjir-6AWL}3=R3u{){?%7N0P*(HFe`0;a zsFYQ9r-T?G<}U%yLm(N^6%^KoaWbP(;RN= z&Aaoa-PD=^d;z)Qx!*|DBWT9y(MNU}ps~u335^UlohrZNar>QWB7`nIUVRaC;*&N& zV>g8IxnGQy{~R*${c1V(+1Rxg1rD8RnRp<_<#W=oHyL8K3X%U~lbFRAE{*+#{--fy z&uZv*tLNKUh%-LU-s>B2@A|E4-Sv_((MmA#U>g0T;7&Ll#xD$5ZQ6; z%u#*f*4(m$5t{F^bAif(Reuki6}7TEq+|8lkg~F=pvrgqdSsDzI(lLPX|ivBB4Q;^ zHOFm#TX#Rzy%{Ke@%ThMa8-q=z@-;QAW;TPZhk!1OVGDEQNA%lYLiE*LGNa9Rbhyg zIk3ZLFd{{rwP_f%r!mqmUpW;-7Le=YXvQ!GR4>f&UtPzO{38h*AT5Dqtu+Buu;Vj3KZ@Q9dZtskr3B*bMBG-+)u z97uH^zSEV~Pj_mu*b&-6nLe3Pz%?XV&yXq*7J{TU(3w8qvyegJoz8i3^J*Jh7ta_6 z=fz=GnV6N1Gg-zVRDo>P?kD;JF}UoZ8rm~A=CY16@Vq&+E1Dle4p4XSL>7JGfXySfC$~EVUKSy_4pu`gt80mah zUYfuTy>Z7!rKA?yxmnDPGmoDL~LDSq)283SO9!u=n(#I zpO4C`9Q(J2ZY0+*L}ll(X}&p7nPFmBd{I9n)wlLt`IITLHWee3HhlgI_?s|lGjQo- zgw|yg3>v=_Mk(*RNVl)4-Sr#C89q2HC3xlOQ}gnt=kSMYj<$R$Ck6p$uv@yvKrB9d zs>>HtoVA!F{XuYPYkn<+(h{R`Ix7&m-(o5rImQ4@{;=Zshz#4Lb3KKf=4{ClJ<;o+ z%Cz-Z)0A**WhDvq0c$P8-SIeL9_=qq5_$(wYjMlDIqTN>;!&Bm#XwV_-Bh<^Du#zC zn4bQ{UTaNsJEN-~=lBozpv^QH>j&FKmbK4*Q8Jv>E~Vb}9;-i~NGhAmwe6PxeP%aE zjnS1MMDL>u4$hTDP|b!6H7$ufhmTVD6&HgK3{o6l%>=kFT}?#SFj#K}CHtDCjQqT8 zF|fkq6)oDg)*1{SDRs&W69#56dB1j!jfA^)Y=j)vh6|^DwFlJS3y_x_(G2J(d&BZv z$uBJGkfG}i8w_sr+9Ky*M5Q%iReOJZ{vELgi)h*B4L@jj-dSA3!Xsw=vNLfZEiT1C z1Ji$QW)d6O@9S0R-BekW+}fL{&MToW(pQ#iaU`9&Uq!Zd3ERZh)6cMd?Dk>W0?InN z>cm@J1I&=c@o(?XM3IqEsU6dPMm=M!C&OXqyriZ^7_J4VuF5`Ezt@i81_GVaB=Y%= zkN0`%N5fT_{Y6GRhK%FH(9`5?(_zd^eTUSt2Y+5oH|*}0)k=S<2i(J3IDjl!Wdl{Z zDe?Tk;(kcUVeAp!bLI5Z{HMxMdZLeJnxu!E^lK^OcJ@F&Tk?Sr>&0KJCfSUL7~r%rmp+}NeP-JS)y{gJQzem6!irA$+O{bX*U&1>Dj z%=5{1KW3htQu?Q@q?r1kQ_`)z^M%ii?^TC35;W6F?kE4kWq-+eLa{^DG+DXg!I4n) zzS^scROe=VfN#;ko^qi?Imp=$L|;A9fkIdz_i^J@Z@d4&ET23!{v#9 zrXe4)cVUOKiZ@D#1xtiW2n|aeh#lK_3ZB3`cvb1&r~!&(lD5wRU2QHg2o4VP3_e9X zpFEj32rYrzdHFGt{p<-i@*9;6+w&P~^K%YVsbmDakw(h(-0mTHU;0R^_OEA_bfe0q z*(3i-e=I`~td+NVN-dUV-)h=D(*nfc89VH6zDF#0(`&-pw%BQ0QYCSWao&Knh#o5Sa;;aA_&GmHPZy$()CZjswPA2pZN@ysY znOZ7w^iz3K`xQ~|k;~7rQ~asdZ4OnUB5N_-`Q!_uW+S^x{c)Bt@+Ty^%%fbR_X=O> z#?C(41t=SJQZ&DgPCgo^)plPt(EsV`Op&xhH|P7fSTvu+PPDA1%znZK`vTKN+Uy<7 zpSS1(R0ap>zQ4G9($(=K|CRhKMa$UXIptE^d~|FW(3dC`71Ea)*pPO%jOTzgqQ!$2 z0F-Wt#EQuu?xRsh3^-M3Tuc*}EWK4I^+J*p`}>p>vEi2BD=U z3GGySU!DjT!m-iSZ%TrdbWZjPbnEzY8UOE&d!q*{RMvYbJm97-KiUuZK3K7*CV?$25#D)}MaQ1YwcJClQ zyGQjMrgX<)OmEG1KiPfQv*&7~#{ok;eEW}+`Nz!=%JX(DRthh!O_Isu*7`PO9vw34 zsaxlHS%InOfQzt(QwUqpvR24SoB8jvl(@Oc09eK1O82#@tqYZN{{YsO_ihyoCJwk! zOB|~f%IENbmkQ?rV|dy1HN=yom#@Q_8nF-T&u_ekcz6S87tr4$SkpA_IbSPbEt3}F zuQ*upZ#Ya%8w@M|!}v|~G}Hc4uOGH?r;#&MtXk;4#MWO_NqxqYeS|sMX zKrg|@+$s8W8{4-0J4Z1H;*A$C+7ITsQu42Nl&*$eqFi;1WxCmN6fydP)QPclIQp~c zMBw>W!)EbwW${{uzNI~Lv?4tui^v#Vu_EU5z@{_x12`GfN5J;W#Dx8`8c356+sEP% zJJ#6bkd29nz>707LN2 zZ1EcRcvk+F_Ipb0>e|{FJN4Ri|BxFAmv#@v)My(YLMTsvjm3~Q91UbSk-ylT*bI#A zBE{h@v$=06+viy9b{uqQ=$ZQ4xt-K@Q0krcQnmetGQI5>_tnTZGv1lyc}h=iqQavr zXdT<^({yMybem!sL-#H4x1n?OeZ*$DDDh=Gn)FMbEX%nyx}!4;j%!26*m_((8H4o? z>oM40z^&+l>UeIgIt2IoS!!NjG5O-*uCXQ%wOF-(;8uS&q~sMqI;Efhf8s-}RCtis zaX!#Bls$3l>zf0{z^}cca3DTF|e$&1iTB6Qr@3qN8^E(0#w8TOa zCle-p{7{rFV-Et+=jIVbUqDAGC-n63SKJv-ZU)YjjK{sw$!UUjgX5jaK2kn2MVS5ejT)k*-2BK{_GBmRv@|WwdZDZ6^s%B^ z6TZ2y&o?)~w&t6g0Xx6Ri_k5}X)vE?+Kmq@>Hc=gMIDTa>NTzJ<63#gQ+MGxls3h7{EH8*&1YTxd1jXzN2+6H(0hk3KPn4BqsoU1GI zJMMlPE{h4enj+;9e;oJAY%UxYUiRNgO$+9OqU}wS;{A@mu_#fs))W411=Y(7=4h(W z8)!La^4c?=iJGN+*z>J+1SY;X)o(@ZaOz^8jxGEUZW=x@9t!g$xZ zn$mhv(UTe~-L-f>JPG%c`FwNTSS9t*;C&u3PuLc4DZWmDf6L3nd3Om&xxI)vyk(h9M}w^#0CkWMK`eCzVq zQ@+OLQqsqt82aj^yNb-%{{)%yJ~ZD8WV+oR9U`DWBfqjDd*mn;0(WWm27MHK<@)=_ zO($%ySjm=jD?z^&jZI0<>N@-V+dnn@-X|??a@)feOCGcC=l2@`yvp4^zUpei}RJ>0i7O6TdMerf??+3YGy+oP<r5%2i~1I22_brs_qHCdxKi5kQ#iuhEz^hrTiz~;LtEy4QwTY<4|74XUR+b&*q zlc+pT8MyUAjz>-C9%`2xawN%zh0s0z;Ovu^!~A*qu(#n?*t=9>sxu}(7M z_^sciMR#e?BbdGQTh;qv{MTGbil&+sBOzAj$K>&wm(W>XC?RN$C;S5S;1}$vZ_??P zr{|jXny?Yy5?-{kQKmmHsP%QSysVR^H(n-Tumu*`XI#(-fis$k(tdLjRSp+)Yk9Q! zPu>j)w8cUrKPEHprR}b&;OZ4OBspr@zidRa4~eR~RoMXJSTJ>|Cy1_64y)HEF)?AY zWJI!vkeFKIcwQ=Q(HESlZ;$#jsh{tMabBxmajmwD#(W#xHfx!93Plqso7`0-s$9H= z+)}$%5V^>U(hm&pT2UXdMf>XMC%887Zr*$hGa1Q}JvD2EVy0V6MhU{SDI1?2)ZSdC zgdyq)U@QBFrmZb|5$^<5`15*AnC(>z_h^KFJ!KzOAw-WqJ#)R5=0%nMQ>yD5H))AXiQ8s`Fp)7vcAGrZ`rK5rd7lha4OX;sjv)oBE1dSvbJ1BT z{3g)Zj;XW6XQwwJ9Sw8s;?ba!ORw0PWh7Cz5^I`%bqUVo5dJ-L>nkALiMF-;{MTG7 zVvchU>^*n~o8v^hrt$!8v^ztYRjwCJf;J1*Go7}H%`jYn-4YY@+qbS|F7WF10Br|( z$5|mVeG$h7KbYMaV3A6p0iyeTfCfyZ#vt*EF(zeZq;@%8U7Au_)if|UuK=1bgKE^0 zpwQo2X7x)J1z&8Y`GXoj)I58v!`Ly0u;^RAF|BQN%r6pmSz-af@Z-UKXT!kJ!Qzqc8-_^8nJD9uQA+)y_!H+NH z#7BUy;h!4C4_W}zHA;QB>BiWI zmaOJ+H3`=Lu<;1bjgjDdP#a#A_21115&OS>Ex_s`SLS*auFVsO49aLEuz%%fa8|q7 z%)lay#g(iSo%OPDs^7kF{OfX^=VtZKr*w$(R7Nk@SM?u5nd0uBkLIRZglBC_n>!vT zn0^vXC&8m}!t9%xhp#OW1H&;(!~*k#U^Z7eFH7_0{S2Q~`@Ut@BQs6UhYnN3ydisV zP~%Ihf{jk6B3TxubpxHp=x?tSPDtU~IWN~Qa=91@!{kjZNn^M>-I8)utHf8cRVfM( zNG#K&Q$}k(98pgsR9~TdnveSJVT&M3$MpVITOF^`Go1VB;v4o9u_Dx_&WhWHfGpz5u(STgOSH0EzT*7q7pu1Lcgv*v0!--Z(K=C6f= z<>)xjEk@c6E2ch0*Z-m((v7xeeIlan4OB|>3{z`U+0Fq4projw)22Kx1AKI`wvPMM z_a6~=P{`L+4BLfu^}!tXbvel^n!3o5_h*)w$ulV&1>}CX<2kx&eqtxD18Refo+N!8 z+VmF@+x+?UF^!?`i-vE|JM#d08lbsiYKyAjW^#23=?c zdUKzycRSZYgCANkkX-Uf)^KO}-oORdpokf4z+eT*NEi@Do(4?wHWD9sim!Jp$o}*; zZ1&mi7k>sXVFyc-&*sd`RIj@W&tPRY4)8Q;J`OJIr1M6_MF*24ge5%Wf~q9$8QgC$ zBaZ@QunX+o*bJ1?G+XT5YVqA?(3e0X#vSHPq=cNLW^p3o=`MSp}J#ZIT5_1rW`pn zpQqh8BEwP3T0cutoIo%M|MO~-oAT2}Hni`)ZRf=s*%QBmQxR6YK|i+3Su#A$PRfJ5 z;UzOUpMwJX1KzTL4$8Sl)mk|j*=QB;kwX*moScuQT_qUSOfSUPwfV1G;QR;yoJ@qg z4XqPyEv3>h2bVtJ1oKp~C!yKtPHNI&r0W97+p_E&jf?=+=!qw1X9!K6N6tNefHUB!vY)7IkrwhjA z`Az5(|J&hXiyx|y^W>$~t#oAZ?30K9zC>W_F~>`HsN}v5U%K<0lw4_=bwPUS_A2@P zjGmbMT|2zI`r^^VCE!6+Dflrzou3f{jLsqhFKpM@rx(37#VugQ=LO%%jC_?Ut|N(T z-ugnfcKtume_yyusTnvCjmC)Y)^_&q0=QqZp~GZnL*KF>nU$dBPjpu_NMLI zL{-t})+0V50HS(_=#Flgv1~QbXWUufvxmMz_gbsdDwwk4KUhET&Rb% zngcqcocO69?C&0-l+YX0x9}d|R(`ty=S?2?gi*qj<6S68+Eu(?QQyZbPrjT5*L<;+ zlBWnXptfOuHpT|PfVfwOYUKG0j^RM0DWscI4$xAvYqiw=&XGJs44X2wR!t>2IXhwB zMH=9My7_bi`X6-g8taxcZ2!Tch(&dG6_C~*UX@>{Nj1{!4CG_k%r>m9*?uYt5bufP zy>OP-+H^3%Oi!z3j+cI6oe#Wp>VSjqcdmV?*^!7y3)kh*P%9Oc3u@od%j)P{q*dUu zHmilT>#kvD(RH1m?>B*8Iv_Dj0;^WRVH+S~4r$c(Y2nBUaL4!$3(S-&01GqLv{lI> zqNVHdv*8Y{qrk$JoLH59l)<$weMjn9^bLZ%+rnaAlCk2=K@H`C81i@bvkeETgE1zb z;{!?jdG3W5*USX~S%Qbqw!OQ1ns%BoqLiefgZvFL=c!Sdc!1{S(Zkn-`+Fm|dQb0p zIb8Jei3BCj8eH68@z@Q~ZTAkAYIe3Ft54i>fb!W7tL?;l(6?{`q72v9PcueAWO9Op zM);yjLHpZG4~=Ljq3;50t7W2ciw__{J2x8kiiDY_bA*}rfNn@3v-LOF8xnB3Vt-lz z*zBq1z85(u-fJX!Fz}sMUomNU-PU|DBRXRmmg;PFUXB?*bPmR1({9zpX9ZCtcfvY_n!`v z>v$=W1C8l3RegT#XXATSc)BLf`Ouc?uVX0>@LWp z64-+NTXA?l;f5iME-vYE1*5O;UdhA)$k8!EY%7P2FK5>ZGJIwP>8#A%`a z*L!!!TEnb#?;DT6Ue?{+(1oW*y}4WpJQyMWmuICDk=XQH7yApiOk}~q8dX%GyJX%y zYu6Qa&tevbah=jy3qEJ6)2@2?A2P4=XggGBWSsM8<*NA2TQ)3wfkY8qQjAp1>cBT} z{%wBSd0eS?U<5+DOk!>nbw0ku`2LMN-oKT{M|9Z->}QQjqD27_$s3NVqfb0%l z`^rO;CvU`eJ7UkwtA@YnK#5Py`OfZ%96kA7gU4GIDrMY4EM!2y7gC z1v};k*_1Mq=9|Ryp-0WMETxXjrzV$nrAm3s-)y+}C~lk$9b4+hYU^tYqZhtogxjNI2aU|%N|tw9B|u(faiETfALF{sy7L}GKOCzalvn)WT9 z6%pAeCILo;>&jm4eVNQ^uf@3R9H*}?QCqAgl0P$grN6Ncb+0%XQ}!QOkcL2C*^pRM zhq*`Dk1|1!2iZ|3Qb804PafyqhBL-Qz!jT%CgRTEhc$vd)9q*7^8sxhEKnF`Y1FpQ z9T4&6h2-EDnY{Cad(Ic_8VpWmfAQQ839y~t9nv0X4Afu)>!azE!&6zRU;1(@#=?4; zTJouDjk(_q@kiHJ?_iadmq%CI#g7aJj(IBggD0Ht$8gtejLWKeU5|1=-+Af|(VxPy zu?TIrOpiUw8JdyNSINpatoP%+#)seI3NJK7<4$dWkwu=~qU|$3=IWRMMCbvYhx!Zu ze6K(eV%r0yx5am4JxufaC<%silY3Jfj6RoM*c~1hB_xbNZ@xRGGP=MFH}6l|I_g_X z)FoY?rVFz~ciQ8WT!(@Cd&MPF@*~P;!hQ$Wy~~w8ZOWUNl`6*n+yRn&!pP=u9J0-t z^S((Hr?gbW_H=GTt$TPa4O3q^)RN8?MUAlzp(KVB&myy>Z*oh1M}Avw%gSn;Rg|hW z(7aLK8W3#s2;rV}dN?JcDK(#{d2v*D#4Yg9h*5!g!8)W@>Y=lSGL`?r^9~_u7=Bl? zFx1-MuPh62rGZ3%pRIr%%*ldt*j|vA9`Yj)Vs`Nn4nd6(9yOsyTY7eNbcMwol4E7^(O^ZvL@|m+I3q|b+_%wDa({(L~ZnGGi;Htw|?!*a!=0f zbs}`^jtc09Y1wy<+#BBm;bRIeZC0kR17qjlDAk$?li4wtwP$DM?r%0)~Yr!3h3>H*z4Fq^u={K z40@UVRT##0)2F|pX*d_$*8|)7)QwD$uKdeff7XAxH=eRD#mz8t+o`li_-*Iayt1S- zDi$l9zl9E-RqPEGU+Xd+@zjJO4IUSpbkr09o=~5~7d{83%W@4Lp5{m!%s4g{ z&q)u}^lV4C@_gGUZ-1#%8@zupVE&0c-qFydd3-3J!4qwVCVwa zOG2C_DAr_^F;wvT0GwgJMi!lPr`$J3@#uI|h*1F>Gdcq{qE7(b6JU2*lj6TAN}729 zAIDFSM_7g%0%N*fs9Lwf46#6CVPm8Bf)T<-=RhyG_0_NOzI+o26X_(iM|_NY?bn4w zejT}^Su*tibR7te*PMBBNvo|_FbkokAZqnp^96kq(e$! z@?U&ReF8-3y7r!;&)3b5r=FXPp#&lYscrv;?W@<=&3~|?{e*6-L_vuHN7T}Iv9xL)6X&Z_}qqXSg|MH0DB)9J=Zr!--Aj) zNMgRTAZlOau#xiJZh_+=dTrd2z6`xrsao|VD^)7M<9s=EbW(1@@_2oyPXI0%ug1Eh z7TRH5*Z2*{fKCdhSom+x{--u0jK2mWN8Lk;uK^^Gd(ZitZRtV&dcyN%2g5MVyTtU< z9eG}J_0&VHy!lk?rw|9f8C-v>8iDcn#v0aZxR-FMn6E4U?>rCGMLOk5464=c$f_*Km+!RG&-{Dbb3SX8WsYd_{QS3z0jO+m*x~Vie2}onLOToi zp9fyLrkA-U`x%XTo{4PzuTHYZYaPm4;qo=!nuD$3pGAhh?O7-WuEJVr1)mC5z&R*% zTK>oMy~mS`9EqYpHRlUn%Ju1 zhK0yvSUez0umZj{+h>ntuK~Rx-x#4iTYt$YAyP_2*+VMfv1Jv%Pghh?<9fipuNam% z{{c9R#%=mE*4gOPH>LWeCwjkOwLq1N`*h&?+vIQbKjTHkS2h+E0_EsVKjAi$eu{U=L0yc`TuVQ{FVCp`OQa@(G+Q`fl8!^By?y|8 zXAKIdn@dBfcMp690L$nBdfTl588VQ>-JQk2DdZ;YD#0no=ONi z#u+!WQz&utVR)_95-<(cV!CDMKXl)lH~<2%(K!2E0PeHuzC(ja4Zy4v>m5p7m|M0!_{r0>{uD=Ul*$ zoOmmlsK%Za@*6!cE=u#iUt-$ej0MbnH-a{AzJbPGiKyUY0G4pz(WCg*ux8F&c}%b5 ze;@zfj3&(I+ysd{?q#*;2>+eU2+^;1Q*7197$q33pFtbYXQsRYXsbaU0)K!?zYJ?^ zY39}zz#Trx$OrVQ*`s!L=2O%j#i@7^^+D`U9}299mh$N<%k^7Iz>|0l8xr8M5zbxF z)UZ%w)mX_bO#wh;b>UfXfW##>in0*5JK^lt3%@!5Yhb*;B|waTRuN!-{bn<_%#U{} zo3#Q0*}7XJx)x}EX(CQ>oW>F(hp%=b3ghD@+Ck}v!4M5MHi()t*=gbIYOF>U7b;y` zik5=5_YIC?CnJ$IL$I(GDloCW7x!u+4@4UjzIbc*MF~EH@zJMv*=$KBrTkCs+57tX zx|I2Sm{*Fnj_p@PY5FFhq}B-NRweEp#!F|hh9P1{?j8LBYPF&lefJ%{dJjIE!rtE- z-KyJU01WPUoF9cQ>uAvc8c1$}wYfynMjJlh9hLF1AP*_0If~)i?fG#vfv*W@|c`}PBqmDYPeL`J0f;$ZLXfg1y$Gh+U_FFywo5)YLQpkj$aw?^N%piqM5XP2c`Bh9q6ZIx22jNzJ0wt zzvW7U%sL`!X^Iw-{A}I01Sxb1)eIqCFi5qNW`h{nnsz8SZIolA}Vxx^&Rgg?V1R!L;t-NIOiU*>*0y99_Mu!hyc{zl6w#w$? z(aE?vJ8zSQ@5q4%gl9!^>x~{KRR6V}P0&eHVnTW6)KJ>Wa?lJA(255tWeq7neD@kv zh$+5ftV)6oxaA-4W-qTe$c9*?=`nXbCuxZLR`VFsF~HX{2N|JfH>@cOq2*i2kk;{&zEGx zfXXiU29T%SVuKJSWc?lEgHQ!q`<)G_)UwBu3!qMx~h_%clV zdOlNMUQ4vcpEeW)66plAbjN*l|EqC;KhXL7q7=gOn2sG#i{%)IKjSH$EqWN?0uFk> z_OwiD+RwLDmKt;%2TO!rD0q`D{v2GavLWMrOqBf7R22TCXT%Q__`O1@-eA4E$?{0C za(01m7tl)qe)i|K`0PTW%Snt7%?{lrAHTg^w&W0p0~&vx%^BcJQv}#0=9Q_0<9W`x z%Urg4%iH!v07}9jPv^D3LpdzkMIV5!9e07tO&iVL6a+B+>oHdQ;o!>+OE(&XrmBMf zV3Cb)<^K@0JHAv_{U-i69_5NL=$wBRG*DOIl58qS9X-zjp7h}`0I-h7kfuy3e-`bO zGy>&SIm`@%pxs`AR-$Yr$DCgyPC|``kGoBZqW4*o4Zf%QU2U0ge0Bx=_7C2lw_%gy zJ;z?wF$9A47)4e`=!j(FA};y#;s}Eps&9!a10+JHB6abtyphFE0%1GzgAI=u=R*0& zFzRk90ziaC&eq}acs#06m+!=w*GU?}#yMU9w5dV%tOC9ap(92XYeKV>Gs$X6X6Y0$qKicQSz=p{P1g3x*?CNU9Tp+#N8XF&fU*rZpON999ZX zys9faMmKfxF=x1bL5ri+MaIPZqGU%B;5j}ivD-bT>;aSB}=bTfWYtez|zLc|PBQEU&xVpKmWx$0?LiqBXfP44| z3kJsY2mdEyST?Dg&r3802W(@&k}^znSqE}@AND{cU1rzJYb6ys}4_yYp5b?8cz>B zMLmtoT|9>2<+2jaPAs~fSwOdXh#WY=xcyx)WMKZ|5>n=XBM$1^OyX(cZI9!j7uQ~; z&y++F>H5#6Nr$yy^Bn6#Su(A2MCltbjzLl{Y^!9_TRlbYObuoh-IjBwwEg^wf*c2M z968-$l!JMCDEL?)Y4}kAB04wAw*; zrjwU1n4qF9G*&^6qBIvY!Vg1{sA=qIN|-G$x-QQ+FTBK))!4a++Y{+B@X*P$g{4|$ zQueRn(tOUqj>`Y2G_2dd(KIv+SCh!QtajvBRs#>49jzs@_RvLpFDN?k+=s#DpA3hd zx3(_F4ryf8y80a}=%@NJJ=c5WU#92JKi7Nwj$JzZpqQmPdKn+#m00rl;o^Y(5w5_) zMe_D6`nct~WQzAI6o*hhpn5Psc6FpqlqW>->ymvXF~$2xZ{{f*bIAs)A5Y~r#b*^e zn%UFYV>65hqW{V~c6%TA&x8gm=s;GIk0@P5kQ=JGu#lS`e5D~A!`7!nYa33!Ei1Ol z+)8l3y_%bzJ+-)1r*kie2PP3>wjnZ16Y6!{Tgr7u@4*qzuak6u&&|aN4P4FU<-?~Q z6H{z+w(=Uyd2QlLW0dck5$2G!Uj~jA+W9AHHVBsxd2{R<>65UwQT@zlCCzmhJDLkN z5W_s3rsy!3K`CIVIWN{45Ey=tSI&W1WLaXjkY5DH#;Su_rlO^-AT75d`Z<)L%+Mv{ zv+e#(<3eK=H-B?Tg)VdA9eX9BzwjB)Y|poz&fxnLp%-z+FIIX6y8EpUA|92NdJyUF1NDA&(Uj7RWbuUH->JQfD^``iIX_lT@o!56d zpSp&*%qGt^4W6U@X}sE;O(}m$OB4HnFWtFAd?scGaC$1;muUd!4bnEp`=QwaBPdz9 z#Y@g8lC~T>1i8JEfRv)ycSyQ`MwA0cB*n_1EpsVQOP0D+i~PeSAxmo*edur8XYRaH zn!QvvW`jM@aZADmyla@gph~4eFBlt%Tdx(q(BE60@{5Vccz9Tp*B+xUj zn~s5s?Y!Z@nxh4THLya9)kQLJKS~M3w=CCP5f!%2bPPl}>Y+N0A=lg3mh#~Xu)!%U z#ZYrBqmQ2_{p8X|zH~Vid9F^sF>9;fak_Daybu);^_WS*;P3Ow_d(@t_3JTXw?5g# zg4W!?&+p-Qx_6iXg<`sTLSOC5t)!W5hb03-t(5&Vo3KCXSeT%{byt{%GQbn!ykWZV z5_lV8LuvFzd_5&D)c4pwj|asZZF`dygU;0rxhj>Q`C1g3w1Xcw3)hb>U;0v(HM<1$ zz74e{madShmSnFlpYUYA9GA*d4( zCLR~0tNsUvcV~t$0DW`3{LGA84$sN3zI%|`no*r@?pY;C?SIx)Sq7q}PM`!q@~AJd zE+Kj$mtjwb9|S!J0Uf%I4VZ4Ogk6n_dV-VS-#$}ttwvD4>OXYd!H{?4r`85O(x035 zcy=EcXECXYDH1a!plj)s{FTWKa2jf-;nZ1{y8r5WEQs-B5DBDNysC{e;j&Mz8%(jD zW-Xce^o6WABO+HVgD9TYW91h|$k$0Cd;(wTYbj8_MFo2M?C%4VrlRkHE{ZRpyJ}}@ zm7c==#UGi$L@T0B@-emUsuSW-9;=4<=D~L4T@NS{X9VOaq3?eOBS8fT=DMp9o+jj19L)_FM9&xV2cnYVmDhxJux{Wy#_>t!1CW84lccSo|`+)tXhUE9i$hm(=D-f!e@ z@Z)-VsLAdo1m6-9eU(8((%;I`g#61C!0CJ=M^#aW*GtWIRNw2so)a6Q1tF#%3R`sN z0V0n+yT7KC-M+2Sei)p)4CC6a&<+v2U^b!h2{LPVfi3w9@yfh@IfNQF-Rdy6L$B+y zlEDe|?ocdAiroxUB&f4z;&w#mFLJ~Z;128XtU55_FnxpF72~TsK<4Az+wO$U^0RA8 zK6Q=q9jxyV>lTI0#E8JYSp0VMK^;dwho;WjMwKqXe@lvz-1fZiM%}K}Dh_YyapYxt zcEP@x?e0=;V8M8#{X-ww7*opli>?RBb;DXdhRai-4D1?)sfi(XW5Q#KC1nkov{6%# z$Fxo{QR}{GSlQPWm92Dp)m3yv-q7N)Pi9-@?}}U~QiV{5)%-C6@;={4Ut#~t3B>&x z(uV$*M>2UJQSK3i%MlTgn^sH;zw zYvgT8mlL>)7q@)rbDW1%-+Z$3LQV2JsotIG0+gr7hbGCX#9||#sK>hjOHgM68~BZ7 zy4j9es^YTbiS4uL?C_IT-e+M5Np@>;hbOJ(kg)2%{+jBj9}Ww&yVg@qg`G}vRCS+) zQIDd6_H`{!gLrGV*wG5x(iP)aOH=S1IO1K4P!lbZyXe!4NSbm(A_>DMX1k zQj$}fWjl8^5gE(>PS<=A|NWNia2K_tVMfa^GaQ6m`o$buF6;bzpG(-|>q#+6qqKBh z{^itca~dCx+)}+38^Ndfm~?vDBeG*haQw2V-&37S!Fk!|$d%6lesjaX{k%mnEE+3& zV8C68gw>51DucUwac%MkOOduy;)(X*8qp&oKGnLyM*P=Jb+P6PydXrGh3vl(QDZ?< z#0A5vl^#xqds4jebi7{mhx`VS)?vL$nRb_g4m^btac};s7yd})VaiBJ55MRM3t{6@ zJnrS`WInl&G&M{>mRCnI8yGTdJk+2-OpL;LL(+4ZZ5Di}bww=7{Q$^Ea|zkQJ4a{H z$FSaW?CY)=2^eFGSl`O@lQUL1{ze~e)jINSk(#{5k)f8C2^5VPp}a7$_N!Y<>6Wug z$-z=FfWRyH&2jLyp{*W?bfz06WpE20_5E6%7D4@_fjNIIa9TNdKTcSDyM$FC_+m$( z926i5NmhJLK=d`jq~|&I=ypsgEmd0`>B(ACTrMfHJYK|2u!P5&R0J%oAx%`ev1^X0 zGTx9tAe^5zJ7c#yABJF804H|O@4>E&D5#{ZX&+cQ)4Xve$ChL&Up8bPvTS zBk~oqiT&=b&noTlh5GkakKv9Sw=$bf3m1-+S;$?hbc-3ILm_4oI0e1Dk+k0l%E_Ra zC!M4Pd}JogD}DBFONVd`=85zI%~KLMgsh(0e;YWFjGne&+4x~iccb2H*jHn9C@8|8 zsa_?7k4+;_Ut8m%O4lt!Gq^B5UO9(bS!7zt^?azkpUB9p(gh}1_G5;Gy6RNLcCY=P zT!uYO+lF^8jwhI8eM1O`FYMDGz1A_C2+^aRVg2Y+20ck0vi(7g9S(6%VAVt*L9c%{ z_JjVoNpbN3*MxY?(ZSlyi|eN20Lh{>*N{sdFC8ABMceG8G$K@#Tp8stT!0dWO7$j7 zwcf0AOI!7I`ZEqs6Ba6wg7s{lZ0|(689^zB5Xtg`R9Kl{t<=CJNZFy;gcD)z-jAqFjd#gNC}|lWBp4V2WMFm5Sba94Kat>v+AWd~$~1*5()hQI-g zh6n&6Eas=aqwYOjuDrE|83p~u1-uvePg_`l6f7nZC?vs}L8DTWC(lUL;czilo+m!0 zQ1eTE6cKqMIyNefh<(X87qK~a@GVN@`s#pRW{6kYjdQgA@okiI#sIicLr-XF_zN9#h3Yk$xb1)zztZyV&RSV$Dhn=wn|?1cI2H~ zNs)Ujkn%?&rvk6F_qao}9mX4+DT!M_r&(iSGUYMFe5qgTpmQe^-l&`Wa8YPF#;P+O`wGq)4i;53t8mpODeC zUU~uXJ7jEKYt3=oPG6nrhQr?mR_Yo^dfDwkj}GlwP5b_j<{mq|v7k5Y#RJm1#$mr7^mNU?URhEd}rA z9_Ejzi)r-Ki83=;zqDDHX`LK)PzpeexAx$CT{v?C3iP&(UdD94No7GCLIr zl^?}~L&0W{*MNNrz|pGLO5AH$BK>dGlf#owyZ>6^hcDwhs>i31BbIrNY-cIOwb*{z zZw8G$H|67b(-uYtYniCKr{MF8C!3=vi3UUOS&k2>UAkzsqRl-uk-lSB+ z3^N06iHAH>g8Vu!BuRXQqVWVdYv)@E;y53LeW{Zc?2rqK>-7J&!zGX7Lf&;gX*syz z_2piP>G%zvuk`dblOZp_m{nwNuIlNY3^uk4YYi!n*mM0&WGlw^h15UwckuPnKxgIG z4ci6m&0xU8l-8qrmPN~89fdv4_>$KpgHOpn+4#?YjO~$#53z>v- zf2wVnq>QD50d{~TZqhPq0c;nr0gg^CK0pwQn+nuqiF(FWkRF|BYC&{_a1J&3ilnT) zWIPjksw1iPHDXBGWIbjwY@cNvWjfHxIW!eJi>K+8zPJxyL^ROt8}trVM}4dRpf&X!DiDk3X=C%(Ek zR7t8w+qjJ={)hV^9f)JV+N5~*qqxi{DpDm-DuxQCsuk5%X2^Z0>u zq=^qj@xr(2ld{BJOnNx*WIKo198&mcdTg9JHogz_VuQ&k_81O^3>4zX%W`^QJ|S&h z@_OQqbr%npcXCa#9f()r#JkE{z`Qk`CXFwtSZipvyYam1TW;+_MY<+Jy`a7`!s}){ zkO1fY1EpWwDzrZ6N*SI?&+JSax*pvS#i%1)nXNoAZl&40k92GN#4WoMe8y|v6+Ks3 zvywx@qGKp+1gB8UJ)a8PALlTaE3PY6<_@i|35h0!Y=RSp;r5%{QbEstUE+otG6of5 z@4C{6YbC3FTXqM@h7r{=h@(uu!A7LMC(#5w>cGKT%M6}j4z!9T;2U5_m*k~0U#~A< zBoE;mEZ{Sy3~gYT(uwhYN3LTiYW<4#px28z5O10~!0Ub`ouEQdj0+Z4UkxE1Jf;?} z({^#B_OC$MtsQ*SvPIH{T|X5?F-Chvf8FNDQ;2QK--ss7;R8_P1<@#_r6oTaog}w@ zJ)3n{xMYi8ba;e2MME|s3EpY0L+;ZuwEfFr&@4k^X8|)ybUhmj52OGwIPmdQfKs4q zDKQd~VT{yFfi!em*Hzx1Ql-V7Mr!R_utd}JuO6mFXoaqkMiQE}Q*7G+X9uX9j#2|1 z#phXjn;)#Y|l8yG&XRQ&pQ1taXImBJ< z>#yg}QRqUN!X#VyGbPZ34Y12^-&qA)(e(kg)8>UFMs@eagt#PR5QqwM#f;?5;DC~9 zHoaWaerhQkZR|W6s$&9XE~nq)S6ToCemUf5BG=8Y=BptJ)0LAf(}M}BM@kGHUqZd~ zoiT(oe7?8hlbvZ14{5rTry@4!niLrb17Ha3K?OVc`+u>*2!2V2{XHlI(g_w{BPvbW z&r%NqnZY(VZ1)ADAJB2tiS_NFNxScUZQQ?4u{``vgJZxhF9`H4l2jU~IW9XEmDF;o z%=@IZt|^zypEUm>_7{D8pORQSmuoy3|FPaxKCsO{PanK097yk9F!zIG&hBkB$<8!2 z?H=7Y_775@i}VI>YS6uumsq6E?}-CM+0xXtmSTyw7j*o>4)6xnOKUKkeRRyNs0vZes!Yv zulV9SNGRHhJn;v-4Amu-f(mG3@{J`pAt=zgi$th8*uYWbnJlJuoys#)A4g9k9 zSK7f~5cE7u>U{m^ezG?gqhG=YO!uU@+|kodbHvJdi*5wO&?V(#feH%qkV zvAB)K#UjWOzp6T@?8Nd=BoXNIATn(qy4<@D2GiN_ku-^58|#8yn0Og+p?jdvCf^u7 z83%q|Kq=!jkj@O~F$+u6M?u6;z z?;NKpHTNnl;wG>vR4Pm5$bXy6#>p5$ClG}^9{x1(cxb3=s{M?xnvSZGvD>a;QQm%9 zs7CGfQ?RwbNOb3D43R(7lqv3;5rsZBPmnN4dvE~3M!xBe@uJUaXJakZ)At#!I}#H< zVP_ASN}r$pd99fxYl1Z@Y2ANU%YVp3B0i-%<|9l80zE?J+^nmqs0lKXEs%+rh!+P>W}WQHK42&Fy`kwX=6XC^o^|A?@k9tDO*H|hT- z$Mp%)zdIjgMe;OgU+++2is3?VX9gB zX3&oLL>Em5dX2;PKeNsu_>Lm@Md%DhXLPUfN;(Fxn4!s=lH z>Zgsd!WH$)BsGTL7N6z>&kU{a3^|A#Q+$T~&*bvCGT-C1g~y@F&>b^|V$Y}gIZUg= zx8(z+eWa$SRej<6(FIj6F8Yu@h((Zx$Bd)*G^l2QkRt&gm;om>QfsBkkK=ial;_D) zk;p-+k}}{V*}e)q1t?_l+#=eEuEyGdf7YHV$zxi%xd~gE-~5`(PgWa$6g=`tbnbBG z<>bBB0DleW2Uk`siq3t{~w zrc>Yb;5d=<^ciCp2*_aWgMsB<82=S&7B^S)<< z9`Xoby1G7_p<{gQ4=4qsEt;@xdX6nUQg0U`>v}c@!NO#6k;EN0n<6K#Dn-(0?a9xV zu3uEPmYsb=XM#-At$+Wse+s}Q>l&?2wWQgf2fZnmIq|kD>#(bhjb)J$FuRi3CE4RW z0*qZjw|Ecz|NVYs^!fVfSY(vt#=QT*_x?PPX_2yw^p$ejA->ufsk^!{dOm<*;4>wywLI1V%+;xfux zesT2M(k_1XH$@LE+LtXv7VsXtzIOEE$Mg=QC<7z)`+G&QzZh@-P&$7V zNy{)L`qM!HbTk3b)P*Xiiqb}vb0su#s`4!O6A#;fVwMhMp zqf39A#4}P;6od!}Mou0!A{^oO40y(*oY)f=*eTW8neReF24cc3n6TjAgfO z`9ztOj8owq=VX>)vfzLFnql6K+vSz6CMA?K@oYqWP7SOdWt!xw@UQ6)NFR#V7wnYF z)S^3$;=lxCy{@VZ@aj=*DR<9y6xLt7P7|}!`B~iK;%GwscI%z#{#z@(!lvQ=R`_-; z>pNTOmV;p41Y!HRuJYWt&#!0Zg)6Hi06rx4MwY){{dYl06uKf}*KE62>C+D)*#7Lv z#pLcDd^p!bw*~!{UA^jNx&WzFRFZC0mE{S_ZS76s>c^9Q6uK*eDO^P5dUno!+N!|s zr?#&TX(*wxEs9R3PG^oNj`mqD%Prd-4`rvp9A8zTDE|X>;S0k`r9rSgI`mzhRk_c8 z4OXTx4^#0SyvY<2JR7W?)hOQ&(z%VUZnqjjnD(f66t7EIX4PB?ot*S-D@C3drMz#| z?!4nT!5{)`69Bs9_K=_kimmXk!`;{b; zD&-BS0IV@=@$9wh5gmZaqzr~G2b*_>eCD-g*KOW(Yh}}Jm8ohs`mtMv$qNI;Tdw>T zZ*2vY)o!KDPp|dFGb1J)e-lX~uN`|LDf{x2i#;8auK-JuYhV7m<(6QQuQ&OV``4;Z zNG0$*s&3_y_378se+b!Xi?%j2P(^#$J|m0m0~!V5fwC;N*#@B|*wG6Wi6I4xy?2>r zu$UOYE-anlYMX2bq5O-4eGKxLI~=&}|1r7KEL@l5JRgl+xiYg33#1^i!2eq2)|3Qg zcz>TYEgy@0FhF{X(#o5+#xpzS%1s*awjaA|saiOTU0#cu{{B~sKHzxR33i+y%nY~g;xX|hMILVy&D{W?9oeR7ny z)3(X?^@!sub$H@?x}TZtmx(VeZ&>r<9JjA?0h&f6X#6gg&9r3{`T!}87Ux@g?lM+X ztJ&#w9nkJ`d;Vj(i7izuUV1=Zy$bDT6A#n7-76h@PF~~RV*b??vgCWd3b4JEfl68J z)KNLn0#V4$RItXWzd*okI{+}{73pf<_V`Y8w}`WMGTm}kEsKhNfH8oH`t=B~S;oBN zYZM;rH9lqb<*jr@JwyAxQ7!ijOO$ zGOuq3Z-(z`&j2fV^ZD%3t$u6sS>dkyoX0O&h6>&y_?>aB5}j6pbP!ROUsvaS3vh~~hpT9#k@>zcHVg zMyI4(TpmnRylbjinJ#TUn+w3Rnxubl_2krJJ=JPh6?OmgJYS?aeALV$%iJ8HaeI@1 zOW$LQ5h&pbsQU<{z_2KDHBMOT`OPuBRq91yEZpBALEvel6JYtt$Gdl^mtj^_Mu?0# ziiMNLux7>M3vYVj2!zqEA5x-u*Q)S&L-3i>)pUxIaq`E?!C~*Z$fi4<^UJY;obZD{ zjbZ#pByp6U3~Fzb25sNQA1mKi3$miX8stAxH_hc>w|=h|X*Hu1PWA|;OoYBY^) z(QP*1*9AG~x^KeNm&T>j17UIty0y3tV?B$%Wgt3Usl)MweWiTBZHDd>@eFxwN57X` zPFSw)i?YnGdpVt)%1GYS7s^D# zqU$MUa!rFza3JKfRy3kc|DtRxW%iS3eSvf#H!Wla<*hAwHe+Vim^?ALMDyyFyZP?4 zG)ph6jRSGfgJAUbr_&aD2l4u}K|%6D%xzPuX(ZW__%U5??cPGYt0pU=(Dh!a(PzFy z#_w`C55ivIFz1lL?ZY(2#`4s-ZR-)b{qbwdLwU2(o{xmgB^AI>DpJS^4XGy^=lK4Z z8=P86+EZ}TJy(mH+5aqjYsRlST`SI`eyqzcpFbh#LuAM)=p26xG!!<%@d+LfTkC4X zBfsjaUS13u45Claj8S@cOgel^$o;MPvEEE z^@sSjX-nU$*VlzD$&NxKBQ4>a${_fds~)T4^~mJpWW$}JXZsd@*PFAdVVbVkSE^Hs zEaL<^hLYU(nD`y9dl^rsg&dKgbvry9!#gJKoj0AoJ9&nAqm6iw&H(0G(n#+wbID?s z2Bnge7UNraC|havUhyyd!0iED#-AxhkKiup_Z1sl{FEhiHE?mn6+gPZ>SdZIDlHV8 zE78)W%mJ;4tz}zySTN!>?{Z~j#*)9M>z|RB$#IlPBsqQje_H$Muc*H0Z3#(XK#=Yl zx}_Ytq(MM>kQhQ*7#Uj0K}rSbkZzE!0Ys2SQc}9RyS|stcfId=|A3btm|3&n-h0m6 zv+v%|v(NLas^)nyE`<>8Dr7`<%fmCH0lXG`WvG$M}_7B!E|GxHeULOiju#lDa zDdYHWHP~y-=Cp(KT936`9!6WJ9!4h-q4^w(1J&D3>X|$raxUIYHzyCjxnNhP9b8!~ zjZrQM*G8pbM5okp@Xi2Y3mT-uR+KOG@8sme4O0b$cJkwr3ZOtr+{0xZzsx76 z9*V;EbaTCRat@_!-Eg6VL5^CAs84d{%IuW#vu}?+@uA0`HL@k`D|uL!Q%vc++b()*{|5u9nWWAQNBrig~oUw*-UZ#^V=wwxa~& zu8m8>YHL-}I^N^X{&c778u9q>xLlx++-~hS<%ZERtfUsoB1>+Y5;3R9Sy(b-dJmDK{dIDe_ex*ot4XHUJ<_-;Jo%|B zQT&7!niJOYkN4vMM0c>xqTz`S`3{$)g!bz*u3d9zt&ZxVx*Z0(81zbr_D!2Z|4mg{ zxele(S|E|xa>rc3cIuj|0$7fOI3ff|z{heDMW(`Uk@8n8^qF);1xk$uZg@-+c%#Ps` zeUmpIIHkmyx8p|W8>UBJ z6zLR~l$4<4Upt`#vt=bBY4qf2o!YrU?nF6=G!v_%i;3!bDC^>tp+{*5F}Av{mlnG{ z#L|(O?Ar;7B4NbtWvnDDe3gUV2v2KBu(!*DJK|JV7ZqAU4tta8w}m^ET4t;{5+^Ce zAU6d(*|JV_*p9+)8OY+jniCBNBK-FKbfQiK^Rl@MosWGxi?3DF*!IgqaMvJYYk0ebRVxiu* z;t&x*rls;1|JS=agl8Ij+$imI?1$(6oOPDxF}|Ku`ahSPR%oN^7P{2I58FXHCFa*< zVZ8|uGqdseAdpmjwadJe682WP!;4fV}xAWOT)wk03RO=J=`DwKtBP!t1 zfmDH1lT<4vKM3Ohw>D)%rg9AS<}!}gzeFAlH>ZutgD`O9!;D5I0hI2;tAI^r#lmx& zk6wEX>+vl|-^x!S^WYC37XzDf`qAG3DGiU`0tUTd<3b>Q{QJFsQ$lNipP`r9gPU6{ zg$QtrT6Ex0+!UgKt?me*=PW*wa=pqIARcZJT=8(L6TGM2X~^D(E%7EQ9E?0yHan-G z4tcR>+Faw!*AC9xITRvg)@x_!s)&_M%I=#iNg>j@$2|ogO+} zVWnJ|7VT+%!tjLhp`{viCQhr6D1*I5^YOQ%h z+le)kwsLasGK!fQt%IxmiH98DZ;T3`Crop2whzb2i=0@Y8+Yb^HGrLzOS-?i+`t%j z6y!!k88Q57@&WROyhZju()TyQj!b*Cc)&!hj{u^`|Hx+|zq7JYxtYjOjZ{Vpm@yN- z4{==5s^^q6x5~r_7~eHMIZCpUdi66Of!z&TC24a7Nhf;>uKww}dXv5*H>E%IzI*)k zH(zPQP_D1}%u6Xad#jj#ML-@5ICWBhlAqPQIwc(rQQcW^iuk&uAm%pA{;hz;JyC6k zOQ-Iplc0iK#*nhi+n)y9MlD>_a#t2SR&%H}H-9?aeQtM_v3^l8BJ8mASOv7ooctuBup~Y*N&{+R+DKTNWV!}?sGM0TthC%_ik0U#>GWsUl z+DkL+R8C$vJ-W5TSnKbl+YMGQYD6K;4Rzhy?@>XsJwwP}Za7!}XPt7hbJtFp5+o9p znD9BY6K|G6hz9x^pU>r_OKrmHq~il);N?Yd`X^l~R1D={?)++(XoGG8jQ=WrNOyT!Sm%W-$j7@};05tT=^D(vbfdlApn`Fo8|pd==xxaXkBIMFx5jZW>TApOdLc zR6kKZu(e9Oox6#G?r4=>l=P%5YZz&MnU!igd;=kHr;7ev5SA12J8&ZLF{W%p{yESZ z`*JDakY5Vo)=nUr!}lY}pSR^=sipC)B_XZ=0U+rs#|;T6^fC_a0u3?@%n!FeVlbAg z$7~Ne!EOM%kXT9{72^$zP#c{>n1-@wgj19$vrYd3Xcagv-UG)o`PyoBOQIcl9@gy= zr(CVEh55ebPoG5O0m&IxFLfUi2sKqmXU)Bw_=F_G4eHtw*Trh*4Pgqn;>+7p?sVl7 ze0Z1;2{(<7(OKXJi!2-x6#E-bp0o#{!-d1eS*(e!g4j|+iKs$tmIOJcut$zP75%c!AkPVx%;Ej_P)9_Z3-XS4yqa(vp>XTnSDKFUV36Gi80 z6Eucal{ZlgwLZ-wM=Fy@Wm*-oQbyAhM2%XEz4_p)-Ux3QgiwxD zU$uT#%d(g(LL=xX@t^6Kq9G;vN1VsMsF=nq#_mqG@<{i*=)WHx4vAT?bnGj6#cA+m z6lU#8F*4IE7kj-n(LNt*6S6Nti+Ws+cimJe_NFuwBF>MwKKMM9W?NFWn$8@tSXks; z(NF4>vBuI{0ZS3C&o@C*?_^7xRIP7Poi`scAq-FSiXXP(5UsM^N=j)@Q{?HpNs z7-rp{hXwMQIyEGj`IJGn))qcQ*;psP!gSL&jv;5i!L*<3P^KauE0cOa-=A{MC}%gK z8O=g)C=!ZbdVgN%7zw%^bjF$KtWp8|=kvfioAQ2 zFi+EE{YUItHZ5l6zEUyebV_;rw`u{&nw#Sk-&SyAI33wj zPLfrQ38$yrZ>BbIxXNw65**!kNs_g+km+Gba7)hB!zOUs}fVZxmsEm#xnG3$$2 z?HP=xT^UxOzgDLGrY{eJsnC<(+}3}NoH}6uL{Gjb(fSmf%3;>j9?C$ zWkAK28xtzirR9i~uUC5yW7U?TuZuRuFyq#Ou5a>Be74(t`f(&LUOH(5yI4W0Fj6Gg zNH_tdgpF9~nGNQ?LX6g4DGNO} z)|dR{>U%+6GG3as;~&Iy&=zam=AQ!;daaNo-GrLc{MCQ#?+-_&hLvO9XD!&bZ{w`? z>8+|c0(~YMNgoo*l`8%>o6ml-gqm*g^ReaY7!GcENaeB11ir=G2XZBk(KGR0P2_4` zyfdSwQ{4)fZ}E#H95bIN@E^GcUwd^khLsG^pwImi3=TRDKP>?N5&a{rz~5{T`66F+ zujPe4uEzMk)NAB%#LdHoy_zJUPStI&2-#_LyAkbsaiL1mU*1MCcZ=0pF*$!RwH$bQ23odVx?~{kY$3 zUnI|lYrmkf9a=Md_L-px%`G0B|EV?zk+amU+w(h^om-JrV8tJaZ8+{ zmOci$v_xv=6)Vi;ggb?ORu9wxvB$CpOe3CdNU;S*v~uw|jmX2&yH{k_gYu6S z3C6)P&?d^rPkm0&8 z|2lN$RBk6K_T6P&^7&3$fwpPi2-Ya~dW(ajHuBXL@#64eye8vov|&*7c8X7ZmUE$76p1`aph&|8Zxsi4O$VLOu*R+|sz zTHQA_HL`ZmV60~#HaOLsS}?6#48?0yE8=6_t3h%I&OzJPw|)l&p$2WZEzqkLOJH;Xf8t}92KlN%*bmwt5CE?n|>P{$iRk8DxK2A`f$a8%h71o_S zC>>0nMOu$IP&mWwrjqUPKB1jhaZ*aYP*IzMu`BA|aP&;CqdlifABj_%v7ID|j{_%g zC^&FLqJ2^62d^$`p)G?>TTpbDzZ*?fLz)y}jF!8i%s3BnmfX1JnEY~Lkfn~I@l!8U z_W~N9JZ0~d%thEbHL+=@{NU5xwp!xFVSOsge}3B3RW(M!Z4uq_JB&2MaUCg2^}nc( zKd+)pKM<4gN2=V>${Xf^M<96mZ6a8RdwzZ%~1xEWvj z+y%#ajE@+<2?Zt*ll9YxgoDP=ggIbOrCxv$Ptc^|$y4-KeiSku?@F48n&C4!kW@7? zpgYx!OarKNu`M2tmRM5qcXAa=XE54%S{&tk~?fTUIN{*6)X~L6=mi{?XhYX=oU{9yd1h8E+mip zg^tI@LlEn)Zj^40OWNA|57DCu7RhlAKP=luP9<|EFZ^!*v{7^{*lBib#{+)y{VnyO zdZ(J1c4wa^(_L@%j(m-8?s|PRP8b7e&>)VIsSCA2iqjU1DF!S&fbX%WQnr0R-2eIZ z`W);s&LW~F+h7DOikQ>`s>~)N`xYM2t6=eeuI&VBKw4~OyW|Yblp<8RJt2rNzZ^`=kk0XFy9h)jdrXD$HIx9w1^ zYDLr-?TOmI2))xjd`nPOIn{vAjv;6FG-Xu{j$;OCAXX(_LK;GQHFr4WK9Nrm>6?wO zqU2{?TLxYobRII@u=TYxF zY3HUgp~m0RU?*80_&caoab$wggo*RAYl&UHFsTv;sK?;TBG^EEH%n?_D<9wF`r@cA z`4rET$0u2}Llk)B;-H*GE_KnN(j+Z|gbkJ|P#PyTC4=rzr{P)U`)| zgFM=0=#$-xP2s;E{>W1isZ_EB0%b7$u=>v4OHlR5VGS84@&u_Cc}nDt%LArMpjbGl zWjpdPpGH4|QC@!Wyfl&?*Kqq?2~AH{wtIB!4I)lfHtWEUiHq4iglDiopR-7ApW?n9 zSZA$$C)o&%@K&*ZF8P-Jsj7T~%IG;!)@58&zVH^f_RG-(p-!gx0IjEfeRIE;Fgr%n-;y&nN%as3X$(aRL6B=hJPugQYaS<*^FHPz03v z7tMr2|C)UAvLa<;zFX`-f8&dh*gFdVDrjfEuqE|y+FN52-K^3=w03!U43NpKcTyG? z7i*dNo&L4rQ_6MP9sPwS>e+#1X7f#>9$9}pC>^jg6e=Rk(;oqcn|bNfB5P7f&nkAc z@I^zku~DtrGABGE`61v#6p6grY)n?E7Cy5F_}P!RDE@Ccjw_?TIukG7Y|%l3c>}PX zHr*@6dUg}4;gjK?$G*M#JSpkv<#lP1cHLTASt&T`QpPk%#D=UJ$&O%O?u?e%F7iq^ zT42TO)egmB$RTPslY1fzOYXpVHuZ^tl(?$nc}%67Wt|4mF1*VwmC`CNXzwFx=TDRF z0P4AJPQ}8&ZZ{U1?6TkztaZcCGj1yA_SiOGJ78@!(we->A|M-e5c0MKP` z4?5Ji*!EfBy)1OlWCy!n^eSYH`*xfj-{PS-N`8+loipuUbsCKS^Mxt3T?T{%?=wqG zNOwK26loGD_7aN^qw{8uDGfKnd;|zZU_DT$FEA^8fCzYF;9L zp@~TcwDXBI0r=A~)$GT~-RbKmI7f)7qQV-EJrAgYXzUuHC4lK$vx*=onLgmFDhOvkBDXOy|S zr%PF@q*Aw_d`h*#au;va%}ilmDC*{7V3NF`T5~yJT&b<0t6jI|4u^6fG5Qx@DP>h6 z=|m`R#MldcF82A0)}8ANn%|+4C}1(X(6CI9o?^2k|MOXA=*Pt|@A{Nu%4{;yY{6zu zOvIlC8NU@q-8m&zAwoa|LdK5#(*5*?bA2Ar@wLAr%h60rIPT;LYZNYRuUKXb#eNoC zDA3tYO?`HNWvof_yS%4!7ImMr6H+sbN&oWYT&Xau-*SjWFU`$||`*+|zex*Ac+I4>}lejG3IM zDLgr(fPB?GK6tfHOa>6Lj9PRBooVDwUI^m3SnrK(W9lM6I==sky^O$&Y}OlLLW24@ za%fATV?|GTzYyT|T4$DAG^fxW-0? zin2m{V+fmMP2|;Sj65hy??!=uoBP{v@KMvj6n}Nvnf0v2l1fmNlp1(FjErJ+V ziUR+X$V&ID(OrnsGk$G`<4LnB1 zfn7JD&PN?dAqTW}(9z2BZX`_DW|%!>&)^tUD5onptDs?tShIO77sOi(cy*#$hOpL{ zrHC5(^9vyz+wZUyf<1&L}H2D@Hst8T|+VJnIZH2xFi&U=%RcY|5U}tsEUNK)5 zK$h&6>XM^A;)NXCQYM*!Z5F@$ywynC79wdx(`b0{ekZXzS>(PJ&5~?bcGR=M3=-DR zQx6*PT-?m%#>rxaO6dFUBNDoKofZj_{Cp zhQLKg8!AT|$om^7kR!RPi_$!BrdPqPi+eDe%@yi4XFz}RkU>IVkx9fJF{5uYK959! zvw<1UC;*=x)EY1J!zzd8;liE5Ov(3$(V=fvLh0Qi`j-`JHbS4E$=vLeAhof2^$4(k zHko)Y%gHcG*%HijkW6-{ereHPKr!;hUrY+3f)a@BRghtcybGrJPpU10Cwb`85#3qv zAa_1vvPgjx!V~ssVuC50FkuRzd*Quo3Fn1eSMH{-ayv&^RBjOnuthv02C}^|Q6;%K z8zO6lD&M42U=H?ukMEf6DxY&bN z(H+(Lgp&RD8qf%s(Pe`_2eafNu8#xfsqvX^&X(d?jPf9~ynE<{*M{MYM(M}kt?5j_`NEml>TNU~j1+hoy zImWy)sU6q^MSXd=7SH0sGbu5NsV&eUNY_ZB&tsIGhjMR1zjMpKwt2ixxK6fOfG-N5 z6fZ}AH@HM@V&Oxr$Z!;1{_7xSV|<^aEGGZ+#_o)#`JD;v7H&mocivs{{GE;(Wesb|$}oi2jCJ{wT|~ft9G#j4hX-&U7Y0bRZTTaj z?9t{@*K@NrLLEtQ%pzvJe+g+;-HN&MJq1WYlN{;{r5C+aq7~wy08kw?X$pPq!09_6 zn!p8mXZYf7=lTgE0`Q91j-caqZ-! zIx+!qa(ZaLyXCbl=gC=WN!XgXt_FGD3Hh3JXCj#xU=8S#RZ7}IMn8a4?j+o$>vAG2 zQ^E`1#6~_x5Jye^)2aOn-4N=GT*>HlrnZy@7t0xl!|f}~<23rcAt-hSQ!4mt^2y(` zb-X-h-bn&^tahR61MZNRTs!%b&^K0%!d-@E^0PW&t9=17z>BZO6A(DdxmaKm-{MC) zJW>E3d*5M~&eF$P|4!j`0-4oRhwxostbmQg&UDST1%YO~S%c5{-e6ABW8)UJpkg}- z>8p*NmOYIspecl!^$!soH7W9`+2@>%WW6xbGe3#)nM)X!sPlYF^KhT~=p&b@nb$Z&%Nd9t&%iAUQ0D@PC0D#!@<5ZR@ zY%qPCAdlfopBJ{Z*MQ*)WZ3?$s5kVgkP^V(q4Gd#oGcj z3MNy@&4_VF8k0Y3mZR)0zemD^ZKTL~$leewPz>BU@m*cCHN@D&SI@*&bQPjlJ9 zYOEAE&}AnhL1({ol+~_1luyx!!y`!~J9BSoRT$NPfD^a$^C|Med)M6y%_oY1WJvlU zyikxeZii`q#=!{3#)d4AHFQ!`HT*}JGtha)4JmdrZuPEtxqrT>=7%fqKSLELnK5}E zo;>X{c@EI%$1xAl1XEJ*z-!U&6Nsn|!7H%jW2EW$7BysZmDz$|XLw(C<87FxlL4^P zYt#=B0(r2&^j;0b$5hYhObj&7-v@e3?u_9VowzqEpqS0>; zb^J#db+HuTv&7>~{b)_m)B3|k6ukdc5xEqLKzM_RD6mk(e19l*b_HQc{T##6B?g`_ z8Vy4j<~ahL>M_VZ9%+%bgmFu$K;A~?h`nl>ao5kt*|d%e^oObcZ^pP87He2dGf(!I zh60ykq@`jgfwBD;DUS!=(nYTV%&m(262dFSmf5-?e*wT1|85LP_^;j z<1)^#V`-L0o@N8e^~T?qX+q-&7;>Y{M46d#pJu$q>h5A84)oa>3tk#HN*H8#)K#+Yty{v33w-38U;(*FI_SfdM$1!0CI!SF|IjCDBy0LVJq!Q0{Q>v@SdX@K042 z!grim0yadOUE~#zDNbE{`$U_}fi=c}=c^?U`zwNdC*WZt zyaM^nL723<{A_=A3G4hac*H}tVi$b;gT>GMQd#;+CwU{#a^}!gNNXsv9#9+R%MCYj zU-@XAbIkv$Jz^kOK#6RY)62Fx8x-dBY(d%~uIn`_2AEd#J&fO2VzmAkDO}P{u z?f1V+&B)Iv`^%*pcJzQ&MKZCS^S}CdpP##)v9IZ{H{Y6p*1KmC*-r^NIBwq0U5`(S z9pt$fh3v?$|C^wA55H>#Zfdnb$%OPIIvu0XXMsdg3#RfEDQl4724~ai&t*_iV~GfiB?Yutr=cFs#re z;Cf~_&~--N!0h=>;pVQ_1p%#9*oAs0yW~gFL2pYD1`l#$t&;87TnRKc@Uhste;OOxiz;fU=GmH9NEmzXz|#o=qlq$WD<6h2BNmg=Lb2-n2ojj+T!w- zt1qZ}(6{{oDu~SGgCJcli57%-@Za<=4%y3x^fVW6<^Fu+2EB9oI~!nPG9A-fXA<lDF@`ZEVkCkGs%!MrRJ04X0sB0mtF^c&(|4q|TWz`fK&rGJ+##fGH$HgJzI&%h|XPBN=E6A3vyFF*WhM6Mp$OYlroiWQ1EuM9UC zTF3FFeGmurlJo^tI&&kszDxWnz9yjjb*}VxRNB)2S?)C}ffRTqlwW+SAUmEYar99P z8RMg=s*tc07u( zfp6;m3qtXg&$+;^2j_4JYhNmDDbyd~2{mq=Zu3`{{+>>ozFAk7JOpB0BNZ=h4%+jX zI8R-_E)5f-il|YUqFWYQ%-)Gql6TAALNQ$&Jei*b%XLQUr93M%eE$hUY1N&7c|lv26w;AN zRxTN3M}bX@_{B);mD=-!XZ?+itO~NHz_*ictWu0orCn^>{kuwg2FbQit7*n+vnqGI zyrXowNOk@B7^&;4&j!<4He;EmODcHmAf=Wu{M8WlE+a>9LP%_gFUNg?wGP?$yAj>* zBc0*$)7=?Y0S3_5az>N9usz8ESXQK4rW=aagjxe71QMt0vqGfRlB@?(2JxCse{nHN zF>X|VpNB%`!bWbX3B+FCt61(oVk8t^*J@Aq-YUdsoN~u#H99+?MfOl`I%9isC(5KZ2 zlm7ahdF}G4lTX=i-hxQ_xF0?lO80tlw~DBBIJ{M7)a$VFC;$p?m!HFi(Nlrn%dRe4 zY`OGGl^@?XJRsi2>k~zEuRX5r5BO?$==k}l*xnnwetnT~-Q}RG)|xM9yi@z(4&yQd zUrwt-lJ!IZ*{$Op=%Z5zE;@HV;yA@5^Zsznw%kz@n!Z2>QPqmuuA=#p(B0QGLZTD> zN=v~=UMueW-ol#+SWz3?wPu2FlRC-hr#Sqa@UD7j3s4hLA;tNAD}W-L0u& zY$fcB!!UXzAWqs|GO>z~DQ$s&sdLQCX8X8m3Zqy*XsYYizeJr1tTpRk)sKne{wU}! z=wQcRjUXYC`?q+>T}Q8#Ov8P~`exqCQsr74osfHGg@g5*qL<)LYE?;S?xmd{dgrQ{X3^NIgM!TGp*M&E}BZO8%>W3 zCnn9e*wTBKb3_L&;8WKy@amzOHAX?%eLfa>LAp7I+dCjvKGK^`|0XK%ZGJeannHYr?X!Rtkp#l&Tow>)3|k*`!A7XB(l%x!!O|kbNNTN ziANW>Y39nn;b~Dv^W$eDGjH36&WLwrV1vDPtjGP0Qw8Y@Qj(flkJ7sU*A<`Vzdl0} z$?cUlaVc`aMKhp%hZOHXdq`O(c#?2AH~o>Y+(x*eZDRV+j&a(vYx>V+np!8(DdQkX z**W`+=dD^=b~I^E0~?=7R#>!gWgnq)5}vzzeO_Ff3|H4<(0ay-h@BL8Qb1G>29fk~ z5o&TzSW6_ba$_H@C@k4yX7&n$u~swDDZic-nzk8_MVN&5mc_?n^ecW&e}aE?rh9of z_6&x}i>`UnUFZAmN!GugBuwP;W7w;uFTNBh-1Yh!Y<>w53|Ls9iw6fV=#Y=enj3xd zl)$2Tb^h0P0J9)HLtpallahVq?c=EBXE&C{hC%U+7kB&9V>e&=&WEPQd@})!zkSmb zL3ly*#u*dbPatN+YI1V|0*^{BZ|j{N8*wRCzvqfcIc@VRD+2QxG?y7@@i*&85}(&l zdEb_T<9IU9A6v=chi%S6RlcO+oUrla9M22=q$vuxci{DT3CDg?T;UkWzYO9eZZ&VY@04;{Lo2NX-dVi&wWpXO&m}8# z+ET`RYgIJ+3s!JMaV#a?3yB;p2{t4gB_Yl;+6q7TL^yM2GfV=PaCqx2*Iv0(%AaOX&_%*~n~pC9 zV*Z)@0|S|lZHXRB4Q=PLM)mxWMMf-TCnso95o|&l&PR;tV!+fR=bD@YF$+$bd4jEC zKjAa$n7HHtaqh1yfn7R;f?nrP&c0*Hd~Q=2op>>OwXF6HF)tr`#kdsV6?&LUP{D*= zeg#jJzXzREQQQ2tTWQJ(@U3yc3b5nK=^pN7rEXP^1?RcLi1OF(jK~evH~sE#rX?&q zOME_h)Ixu*Rq&TYa4#J0EmvjymqgZ)zWLQwcy)BaR(Rgwt_G0)+`iH}IeQLL3*8Kt zNPGTVlF@jA#0(euZ|Cr&ogfWEjY#O=g!EbeOwbPGoBHJo_R2?(Fz{6rWc4V}H?{xWA=uayd_1Lypd6Q?V36Aoj zvmrKV11Y<2{)S#@GhFB4OnDNLA8UZ3j0@qF z_5Ep)7K{GNpi{|ByLFrpB=3s?>v$m+ndbG*Ki`NpUj_-J6UN7n`pS%=jaH=kzKm_e zBj&bB{?tyP(3d>F~iCvQxEZp|~^FMi6m1xL>V&6~^coJXIR#-NUz;g>Zhm@a77>fM#qR>4pTzA#bQ=> z9vw(3TL&;?8~_>-!F}2ipd57Gi%dzrt22)hi0QeYIcfcNXn_mS>P*x?0u_A9lUWb* zOQ6_ob^=%-@N<=a Date: Mon, 23 Dec 2024 21:07:12 +0100 Subject: [PATCH 10/20] [cfs] increase size to 64kB --- docs/datasheet/soc_cfs.adoc | 12 ++++++------ sw/lib/include/neorv32_cfs.h | 2 +- 2 files changed, 7 insertions(+), 7 deletions(-) diff --git a/docs/datasheet/soc_cfs.adoc b/docs/datasheet/soc_cfs.adoc index 8b61f0072..09aa88af0 100644 --- a/docs/datasheet/soc_cfs.adoc +++ b/docs/datasheet/soc_cfs.adoc @@ -22,7 +22,7 @@ **Overview** The custom functions subsystem is meant for implementing custom tightly-coupled co-processors or interfaces. -IT provides up to 64 32-bit memory-mapped read/write registers (`REG`, see register map below) that can be +IT provides up to 16384 32-bit memory-mapped read/write registers (`REG`, see register map below) that can be accessed by the CPU via normal load/store operations. The actual functionality of these register has to be defined by the hardware designer. Furthermore, the CFS provides two IO conduits to implement custom on-chip or off-chip interfaces. @@ -94,9 +94,9 @@ If the CFU output signals are to be used outside the chip, it is recommended to [options="header",grid="all"] |======================= | Address | Name [C] | Bit(s) | R/W | Function -| `0xffffeb00` | `REG[0]` |`31:0` | (r)/(w) | custom CFS register 0 -| `0xffffeb04` | `REG[1]` |`31:0` | (r)/(w) | custom CFS register 1 -| ... | ... |`31:0` | (r)/(w) | ... -| `0xffffebf8` | `REG[62]` |`31:0` | (r)/(w) | custom CFS register 62 -| `0xffffebfc` | `REG[63]` |`31:0` | (r)/(w) | custom CFS register 63 +| `0xffeb0000` | `REG[0]` |`31:0` | (r)/(w) | custom CFS register 0 +| `0xffeb0004` | `REG[1]` |`31:0` | (r)/(w) | custom CFS register 1 +| ... | ... |`31:0` | (r)/(w) | ... +| `0xffebfff8` | `REG[16382]` |`31:0` | (r)/(w) | custom CFS register 16382 +| `0xffebfffc` | `REG[16383]` |`31:0` | (r)/(w) | custom CFS register 16383 |======================= diff --git a/sw/lib/include/neorv32_cfs.h b/sw/lib/include/neorv32_cfs.h index f23e80d5c..496355d46 100644 --- a/sw/lib/include/neorv32_cfs.h +++ b/sw/lib/include/neorv32_cfs.h @@ -29,7 +29,7 @@ /**@{*/ /** CFS module prototype */ typedef volatile struct __attribute__((packed,aligned(4))) { - uint32_t REG[64]; /**< offset 4*0..4*63: CFS register 0..63, user-defined */ + uint32_t REG[(64*1024)/4]; /**< CFS registers, user-defined */ } neorv32_cfs_t; /** CFS module hardware access (#neorv32_cfs_t) */ From 96aaf59594690547ba7d0f8a25ba4df71409f6ef Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:07:52 +0100 Subject: [PATCH 11/20] [docs] update address sapce sections --- docs/datasheet/soc.adoc | 88 ++++++++++++++++++----------------------- 1 file changed, 38 insertions(+), 50 deletions(-) diff --git a/docs/datasheet/soc.adoc b/docs/datasheet/soc.adoc index fb1dc8f3d..26097a17a 100644 --- a/docs/datasheet/soc.adoc +++ b/docs/datasheet/soc.adoc @@ -468,55 +468,47 @@ table (the channel number also corresponds to the according FIRQ priority: 0 = h === Address Space As a 32-bit architecture the NEORV32 can access a 4GB physical address space. By default, this address space is -split into six main regions. Each region provides specific _physical memory attributes_ ("PMAs") that define -the access capabilities (`rwxac`; `r` = read permission, `w` = write permission, `x` - execute permission, -`a` = atomic access support, `c` = cached CPU access, `p` = privileged access only). +split into four main regions. All accesses to "unmapped" addresses (a.k.a. "the void") are redirected to the +<<_processor_external_bus_interface_xbus>>. For example, if the internal IMEM is disabled, the accesses to the +_entire_ address space between `0x00000000` and `0x7FFFFFFF` are converted into XBUS requests. If the XBUS interface +is not enabled any access to the void will raise a bus error exception. .NEORV32 Processor Address Space (Default Configuration) image::address_space.png[900] -.The "Void" (Unmapped Addresses) -[NOTE] -All accesses to "unmapped" addresses (= "void") are redirected to the <<_processor_external_bus_interface_xbus>>. -For example, if the internal IMEM is disabled, the accesses to the _entire_ address space between `0x00000000` and -`0x7FFFFFFF` are converted into XBUS requests. If the XBUS interface is not enabled any access to the void will -raise a bus error exception. +Each region provides specific _physical memory attributes_ ("PMAs") that define the access capabilities (`rwxac`; +`r` = read access, `w` = write access, `x` - execute access, `a` = atomic access, `c` = cached CPU access). + +.Custom PMAs +[TIP] +Custom physical memory attributes enforced by the CPU's _physcial memory protection_ (<<_smpmp_isa_extension>>) +can be used to further constrain the physical memory attributes. .Main Address Regions [cols="<1,^4,^2,<7"] [options="header",grid="rows"] |======================= -| # | Region | PMAs | Description -| 1 | Internal IMEM address space | `rwxac-` | For instructions (=code) and constants; mapped to the internal <<_instruction_memory_imem>>. -| 2 | Internal DMEM address space | `rwxac-` | For application runtime data (heap, stack, etc.); mapped to the internal <<_data_memory_dmem>>). -| 3 | Memory-mapped XIP flash | `r-xac-` | Memory-mapped access to the <<_execute_in_place_module_xip>> SPI flash. -| 4 | Bootloader address space | `r-xa-p` | Read-only memory for the internal <<_bootloader_rom_bootrom>> containing the default <<_bootloader>>. -| 5 | IO/peripheral address space | `rwxa-p` | Processor-internal peripherals / IO devices. -| 6 | The "**void**" | `rwxac-` | Unmapped address space. All accesses to this region(s) are redirected to the <<_processor_external_bus_interface_xbus>> (if implemented). +| # | Region | PMAs | Description +| 1 | Internal IMEM address space | `rwxac` | For instructions / code and constants; mapped to the internal <<_instruction_memory_imem>> if implemented. +| 2 | Internal DMEM address space | `rwxac` | For application runtime data (heap, stack, etc.); mapped to the internal <<_data_memory_dmem>>) if implemented. +| 3 | Memory-mapped XIP flash | `r-xac` | Transparent memory-mapped access to an external <<_execute_in_place_module_xip>> SPI flash. +| 4 | IO/peripheral address space | `rwxa-` | Processor-internal peripherals / IO devices including the <<_bootloader_rom_bootrom>>. +| - | The "**void**" | `rwxa[c]` | Unmapped address space. All accesses to this region(s) are redirected to the <<_processor_external_bus_interface_xbus>> if implemented. |======================= -.Privileged IO and BOOTROM Access Only -[IMPORTANT] -Only privileged accesses (M-mode) to the IO/peripheral and bootloader address spaces are allowed. -If an unprivileged application tries to access this address space a bus access error exception is raised. - -.Custom PMAs -[TIP] -Custom physical memory attributes enforced by the CPU's _physcial memory protection_ (<<_smpmp_isa_extension>>) -can be used to further constrain the physical memory attributes. - :sectnums: ==== Bus System -The CPU can access all of the 32-bit address space from the instruction fetch interface and also from the data access -interface. Both CPU interfaces can be equipped with optional caches (<<_processor_internal_data_cache_dcache>> and -<<_processor_internal_instruction_cache_icache>>). The two CPU interfaces are multiplexed by a simple bus switch into -a _single processor-internal bus_. Optionally, this bus is further switched by another instance of the bus switch so the -<<_direct_memory_access_controller_dma>> controller can also access the entire address space. Accesses via the -resulting SoC bus are split by the <<_bus_gateway>> that redirects accesses to the according main address regions -(see table above). Accesses to the processor-internal IO/peripheral devices are further redirected via a -dedicated <<_io_switch>>. +The CPU provides individual interfaces for instruction fetch and data access. It can can access all of the 32-bit +address space from each of the interface. Both of them can be equipped with optional caches (<<_processor_internal_data_cache_dcache>> +and <<_processor_internal_instruction_cache_icache>>). + +The two CPU interfaces are multiplexed by a simple bus switch into a _single processor-internal bus_. Optionally, +this bus is further multiplexed by another instance of the bus switch so the <<_direct_memory_access_controller_dma>> +controller can also access the entire address space. Accesses via the resulting SoC bus are split by the <<_bus_gateway>> +that redirects accesses to the according main address regions (see table above). Accesses to the processor-internal +IO/peripheral devices are further redirected via a dedicated <<_io_switch>>. .Processor-Internal Bus Architecture image::neorv32_bus.png[1300] @@ -533,31 +525,27 @@ See sections CPU <<_architecture>> and <<_bus_interface>> for more information r :sectnums: ==== Bus Gateway -The central bus gateway serves two purposes: **redirect** core accesses to the according modules (e.g. memory accesses -vs. memory-mapped IO accesses) and **monitor** all bus transactions. The redirection of access request is based on a +The central bus gateway serves two purposes: it **redirects** accesses to the according modules (e.g. memory accesses +vs. memory-mapped IO accesses) and also **monitors** all bus transactions. The redirection of access request is based on a customizable memory map implemented via VHDL constants in the main package file (`rtl/core/neorv323_package.vhd`): .Main Address Regions Configuration in the VHDL Package File [source,vhdl] ---- -- Main Address Regions --- -constant mem_imem_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -constant mem_dmem_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -constant mem_xip_base_c : std_ulogic_vector(31 downto 0) := x"e0000000"; +constant mem_imem_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- IMEM size via generic +constant mem_dmem_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- DMEM size via generic +constant mem_xip_base_c : std_ulogic_vector(31 downto 0) := x"e0000000"; -- page (4 MSBs) only! constant mem_xip_size_c : natural := 256*1024*1024; -constant mem_boot_base_c : std_ulogic_vector(31 downto 0) := x"ffffc000"; -constant mem_boot_size_c : natural := 8*1024; -constant mem_io_base_c : std_ulogic_vector(31 downto 0) := x"ffffe000"; -constant mem_io_size_c : natural := 8*1024; +constant mem_io_base_c : std_ulogic_vector(31 downto 0) := x"ffe00000"; +constant mem_io_size_c : natural := 32*64*1024; -- = 32 * iodev_size_c ---- -Besides the delegation of bus requests the gateway also implements a bus monitor (aka "the bus keeper") that tracks all -active bus transactions to ensure _safe_ and _deterministic_ operations. - -Whenever a memory-mapped device is accessed (a real memory, a memory-mapped IO or some processor-external module) the bus -monitor starts an internal timer. The accessed module has to respond ("ACK") to the bus request within a specific -**time window**. This time window is defined by a global constant in the processor's VHDL package file -(`rtl/core/neorv323_package.vhd`). +Besides the redirecting of bus requests the gateway also implements a bus monitor (aka "the bus keeper") that tracks all +active bus transactions to ensure _safe_ and _deterministic_ operations. Whenever a memory-mapped device is accessed (a +real memory, a memory-mapped IO or some processor-external module) the bus monitor starts an internal countdown. The +accessed module has to respond ("ACK") to the bus request within a bound **time window**. This time window is defined +by a global constant in the processor's VHDL package file (`rtl/core/neorv323_package.vhd`). .Internal Bus Timeout Configuration [source,vhdl] From 5f61e655dc63cf7d77a515d70de05d8abf5aba4d Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:08:04 +0100 Subject: [PATCH 12/20] [figures] update address space layout --- docs/figures/address_space.png | Bin 172761 -> 300806 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/docs/figures/address_space.png b/docs/figures/address_space.png index 10744c5b24a300a4d13b7a12ef2edb58b82e4fa9..205b936fc53e0301bd9e7b24098dd04f3d0c897a 100644 GIT binary patch literal 300806 zcmce-gBE2w}2omEe%Ra*U(+k2uKZ$Fu+K6e}~`u ze)$J{&%->-oO|cobNAVI@3q(36aHRV2J<<|^GA;!VamzAQ+xF2>DHr1Pa;u~!H9Y7 z_dM|Lv5T6_+ehW2WZU2alBI-_#G^-5vFJC(&%kFiM_C=0M~}GLA6}2W>jTfg7s9MH zv|Y886opJ3AZ*5F4kqSoo)AZH_M=B4;+~Girncs;uT0D>t?fk__M6)oURj%oGHCHC zaVR-Tnp;`RdOMq|dn;?0dfS=`nlXrrVLlh}6aq6q%w3INc|z>$U4%SEssEc;2)ut7 zW~UYtaW=CMQhO);zh{6?qSRKdu8u>eH-Y#!Wf4$hYBoPvUa>>OO|TwJW+3|1E} zdskymR(ltk|DE8Sxr?c@wWF)GgZ-ma1-L9YO{o9(@~+kv|M&InUH;b$z#!}oN7y;pI3AY#@Lov8 z+1ebe;^C5FoFe}n|9_2%us$P#2m6I2Gn?|Vy4w@clh7#Zkh7= zV(KLpW5oCW-~Xz4RU0JcT$C~dI5j_hY--0bDMd6XD=CdU4P+^;XH_p$7MBxZz(%EGRQLP5W*?n+FQ4M{$=5x@`V+KWUEt&N!I$=Gm6dr{hs!hYWL_ zW!$&Ea+9^jRoYRbqoc{h+!iNHrOl%11&WQeD%oNI8jqWftXI7#V%Ar@C_3F(-_+Fm zmJX1~vR|~1znRq~xz_2x9>Tz}Xbb#8hx68t=XJz)U!u3DEJIiC#KmdiP@|)xJ*KCo z^whM^PAdXh1u~V#CV57V{Msc@4-0VCF0c37@4a4DGDlQ1T>ll5O3GBz*T1FFpQ(uM zHId4K$I0jGb#rJomtT8>Th>BHIH8f+S0LzudRiy&`j|Q zNU0{C({zqMOU_-t_D{iCV{hAU{`^ObElxH>k)FGvpkOn#tJ-?9Ajvap#z0MtIxi8G z>X$`LL-}=i;?dY-p~}6bF)5ft^wab4b0c86OGzG*TZ%XI2|Bd-HzoVmDvYl=c#7i{=hV z14(MzGG}$n=-nI25s!eR?{3H5ZGK&j^3=%X645La)QHndj+jC@s9<2IYv=IqY4U4{I9cVmmUR8^J%ci78&aw4h$ ztg7|n_tv7CymRA1Hh9HS`W|$;8jDI@mZk~0?er*4r;6Uq1~>c@HhePkc~q-I1x0kL zSLTxrv4*>F&T$>9=oVdnpaH(?z1j3zXU;A97?#cI^yPw@vP|*pc1m+8f{720o51y; zU%reE&+u{FF4C_dld=qv_>GC4n>|PDGW{$mIiKO#6;3PgV<3r+m(SNUtC{1b0PEoW z?DkSh)t&HV&i*I1hLxZOMAOjv;YE%a*JVXoT3Q9+v})p5Q!#iLh6Vcm9in*Yxj$Y> z?Als}q^h*7j*Z{>a@@CE$Pyi`vqmE-!v21L^>shsg+|uXz=Zi<=HeG(nfl1}0oE)@ zPI&$5|Fg;-Napp@!mnWTwD8?$kG+=?nbeiR;+C{oG?Qd$mz?#_8&D`b-;6xgz&DT0 z0VW)uDpnt>g=sx&zx}NyuQgdqx9xgW97gHy z>1VtcYcr82ClzY!t2)WkeO@nGKFfg-H?(16Iht{)Hnt0#X`3crL>IMc#QhNz5~=aJ z&TP6=$Kh5X?ze1p*Kf4&r7j=& z*aj*ec$`@2&%mXqUkE43>x%+6PDe+8VEYg2<0`WGd4gIDFUC`*ji5#13@t4!L({a) zAWxCIu=x1+A$bJ__SjyNd(y~W0_9Y$jft)+$|MNv)=j^Cth%(>v}#tgx3^bU6r8t@ zY|m(i9u@wM+5-mlQxTz9{;BWebSO$kN9SRic6QqpT8^MFhMg@Yo3}dXE}yrn&T3B9 z;?czY0was+8XC19nko8k^1GmI``NZS(~D4uLp{WEn1KMAq-K!lpgT)%mmhihYj9X1PB3_Z+5V5n9R#g^; zv$p&h!mH%s(ntozWoZoH;r&rl;}VLqw%r9)lV?a7S{1+=FmqNmZuZqRGBDPC zl`^yeLSJ=B38JdY{W2`^Xtyi$O`j84^_(3P4CZ$6UBZ*p*x2nDLuA9`?3;vyg)Ir7 zL*gMgt=>y$1`ZQ$`dq1sKE}6M*ki}ur!8T);Nr@K{4b~XpU3hi4BQOyjFfkUQlg7v z9^M*txN&Sv7-{<~*1h+@?7NqlHv|BfR z*#NGQexslqAcy^@Hfu& z;jW`t*d;tWAP)j_*lJQ{OKEn#I@zv=4~+_}V>Gw4+*Dcd2vKD!*FQG39RBuu!3SH| za%ZZTCO=WZ4hB^(p7N@(7@2>Kvz!Ie1UXtuEU%&Ik`tAV}FsKHBokc0quQ!zoA9P1~e&aG9-1u#E65}eg$m9NR_HIVsV-ZW{ z^PfL|+Sy*eZlaEpWhp5xo~y6Cxz*6pTK=G+aZ>k=`p_L@#uuMA_ALh!nPPj^4_$D> zdrWzGc?}s^?rD`Wh1^W&6S73_^3)su`7C2{)mJo;EhMkpcl%QyZ9m%nQ@Lp~KKx2< z;TNoff-JF41S@^-Nq66G8OOQ(cvq<1-!t~zlF$ZVy_VQkuP?&J1s?h{T0JIJam5-t zL*O#lAov1%=M_`9nlm?*-*bS_Jz!?AL!9vx#2yC3_1(Q0SE>dJ0dtC)@@R@umWbCf zI(y3jjL^Vyb*4Z$)7x{*T{JV`?$YpLex~iL4n(E<#*4McZnSRQa6KS5BMnx0N3v|pCEx;R{q?ur(cWnVa;3Q3(kLt6EdHb!z8%qK4f?=GJ0+=nrAgR6#wIT8(18va{H3*F>L$`OvtIEzk8a~^^?YVL!#)^Q*4nHG%7tR zB(=fJTiu_G5!DLCkMy_A=WDI$I*?}E9`CWRpg5BCBjIvgOuI?@H=FCo^*h-|^qP3c zF=eOnLFNMuFoR#+)oQbxS<&J)q6@fp1U`Ok`?LBu1g%l&tLKY(!;oscpjAYYVW8d9 z+V)4gE>tzoUo*VzO_lI+maA;N{rsw>NQ7aQLY(L(@A-uIXDI1A0;I&`a!69Dk!6n} zxg;JB1DT$o|Idn|FS&;|gPQ)QcI55Z_0a3X68MgG3#UYnh9PgFX!2LJ=Rw7$&1+hQ zs`wi;>H)k-2ENP7{Txw0U4?ehsSMazvVpffthB(G$PdJT4u3*J-zqf6{nqpHB(<#R zKYupf{rK&?e18ACX1CUQQl3Ytd6ENTetzD7g5Q3Dzr1-*sNOQOCH5#xZL)T8LUZOc z(;nfqgoW+E&f~Dul4;*OGfCZfaJt$4W)h?5Cswi&;vQ4BBIL9^7_&XB2R43hJHG#R z>;A)*CmP?)i|Kp5Zw_KB>Eq|rjN&gB_)dRcFyV9ZX6a0Kv5u9l*1I6;FB>*ed53iu z<$Ery_SY5iI*L)^Bq8@{f)lce8Cn~d&4*(f~gvbRVg7ogFNPoN_g>%#*7Cx=l;lGHFQxDd8i1Dc}r>EZSHS9OgDCu zP+HsKbcs#>kIx;G`Bu<5Nz=u-Pr8?@DxOO+l*8czsf$(}r=>M|ESt^R@;=1kcOOz{ z+wn{wYPwkc_s<^F9`VjCdys6+7-m5mZ6?F}g;#CvC$C~ibwcxP@#lmJ*q{b165!rd z6L+r?10b`)yQ8#D4$=zJjOaujJEd&SiGk=`oB!0}g9yiGDDL(eu;E9P-8{`kcxoB&DWVJV^`DKN< zdjER4thiWbp=K8#u2C+fW2YInIJGvq{C|TpWA&$g%a7YWs;f`FABWCYmlDs}X+Eb~ zdZZ>AP|*dI8#^u#JLq^y{tUui54ny3p)~~JA_@z&jc{0Ma9aO-yg7nMaxH9Xx|#uT zHbRj;0n5;%qN1az9DVFq^C1!AdZd2*t}y3HMLN(lZZy}SP8n(y1WRT{9|A%9 z=nDh}2e?#|Y2zjf9$pFIOV89&S3e%gf{9~w3T@5*I}DR<{P$h#Yb0_j{3C7A_z@8; z;j+%ufeOsx+;t^w`x&?jaHAyMc8Hj z8L=kdp&bvDL?+}e6?sbycj^eFjv@IdKYh%}`w6oBimtdc%-o8ospFh34aZY0-U+$@ zkI)f%OBlE^->-Qz-Nc5Rq;s>m;MDVj{z42@V)XErmu_h5Q00uMiA`t3C$3rXH}i)F zK6*j8U(!gL%BlS1gy1hYd3mS~^50D&#V`+e`=8&fCu5~`IQi-=GuZ5`4u*6do9P9V z7+)mkx}nVY3xw<8JwY-fr!185BgS&!$H279gl{@*p0k%rJz5sC=dk=Y6K3X)-B?)o zPtC_CUf>PLTkNs@>!$GofAauvM?q%>7|(Y?1G{M=GI0XSw0!D6AIuawC51xT)gFA1 zE46fJ!x_)U#>T%8;muWAfoN*`2AS?u=4n%!APzdZZJ%3?ugq(>kt>EQL=uo=>)5ZY!S{L*sOhAPJxYXg>FYD+qU%M8KhT-{B zjxDa)0|spu%qfFsQYg>n=4BS1=db6US$3+~+WJ$l)wrWa-Fz5tZo85%YDZ3^d{Q%( z#r@{ZoOyN3*dfhO!`}-kMPYx`ehhZH^$Ro6Y&_{C&fNyc^Qxo4evdvML#?`WU$Blnc8)bUz0XF6LQ zcn_?XQ5&iw-8nbZr0YgrHh5LgU-!a$hF*!2QHAlWpivO_#d zlBjVg(%gdyv5!yWB${-R9HfEOsf1^eRfUxP?vS*9OMIUC_6vT&csoFq?GcLKSzi!nR6s zW8Dsg!pZxJN(gnFz#pd!Jku&|-G@`v24n2_eC&;3<>lq-e#_#GrKNTy#|q;LG5gmD zyzm}4It;8Et!+P`u~I3k9O0Q&|FcpbOp;$yb9|fy_p1TJ#zdZ3=hDW_6p(hq+0FI* zr^r@(7js4sS8;MX!oK9NY-Dk~o03#rC|-HtUSX%lRR3CGBF1=!^_|@E@-2@MY=#9*kC*Ir8>HQDv4zJs%Gmx$)l< zy1O|NB58JhrxYEv?sASGy*M61Tp$8wj;|X}OJ_5>p2Hhul%g@ob;<*NCX2T;Br_23 zA5ZM?S+Lu$f$V*GdhAB)BHJFB9cW)4Nm^a(KZnDG+X5+k{5OVeb zZ83(ThW+hu2aBa0qt_j#k->qtDJta!Rm_pCr>!~f7~Rv%?4D%-!uqEN`w< zG7+D%e|uinlzDKWEl`dI?}5UOJj-L*l>B4^_}(>sa(lLZ)x)X2zI{=|c<4joav+_g z&aJBmr4F@uFkJ{1wLZzX5!%v1(V2TZQ4*BpGV(mMF1Dr4i2NkrR2xM!cUs~;F%l*e z%WAW>Ut#x#@L&-y^x)DdiPl9|TDgZc)2jq_F@k=I4?`Zv2rEY$oh^*dfFCjrD zD;M-blEGl2f^ zTfZizHUdM?W66HiSw{cenUvvZn4;gf=uwQ`kEI;^Qy9Bam`&LUj;(b(mdex5F3LaL zNpmM~c)r7#Km7SN<(C=I3#ELN>)-a5yS6~ z+t|H4{GKr70;>e7rT2|6@zv&S%We{Vq>#W1dN=(emnO0pa+$zN=h^o6`wwI;`!^XH zmNDP*xtmlHe^N(&$&GUfUZ)o6yQAY<7P9An=C0278V0tSFo{aU_>$_6#0twfin1zy=rs zw##&4yVjPd?*x)a2_fp+=T+)<%4i?W5OkqGSOly`RIf?5(qv0TW%T!dBE;oH4Cqg6 z(I&7dKIeuccE0z}shr686{Zm}p?i;u-m>ByC(4x`d1H2yQvCZzE0f9Y_h^)#0=lKmlN{QkrQVmvplw&V11+Ii#~p zfw9UiLhxUZcE@~~!>s$_?E-kHCgWuDVy)9+6#*c0e52>s`RouRdEXI^C~>0DPl%>~ z#`7f~trI&RU?@$SG)+?&G)X=`8RTY~KpJI++5xl!mh)kskM|}0<-ugUa0z<6=+_HXV z7tD-`N5+p(opkr>7D!e<>=4-1xon^311;DZ;*Pj{G~$0fq14~thd_^%?InW`Rn!!G6=;j7wt% zVQhaa?%S3R`ueR4Zi`8vR4E4!zyoi$Snse*d2Z*i{P7)4?Dc--}BfjMf^<7>X{jioVONxC{<=b6Gz;r#HJb*M|diK6Tnf^ppd>E!t1=dfi=l*>MtXVfGKV0rE`o%4Lpqv<{ z5!Pum;D~d-lfMWkU-^BK@k%dmcQsz0R<8>%^C~sF0;0ZCK=D=j$fobI;oqjc=Tz@( z_mlU>&TkXJ8G1ANtJnTo#+N8tuKFHQv;OI5`%5zEh$d!{G2I;j<%;8~r+mUOHE&U? z#;aNCD-Ui_srW)5DJQ$Ib4fou!naf4;1g|4?K6qIMB6U7zP>ip=L>81lsQ2N=0_e` z3I-n}?g>n&_3srbblgpkl+@P(_lfbic9Jyqq(-E(p#;OOs9~$lbkcn!LuAoE zf?5EiL##WGb%jFN4)SO%tT8ISP=AWf)Jf)}4=2<~oJH`R2 zE?1G1K^_|ak`@$>qM@ooKt;hH9O4;H*2l-y-OHNUQ05?5Z;ai(xV~_vC#?UU14Cd} z6{Xd%-BI&t=tha*t&I+SLUAq2(8I$y197<7?+M24*Tk1rbTRulL3}9Nu zSQr&6jiPUc!$SKivP#yIcz0);`ij?I3qZ2vMJP#{t1;N3IVz|6rg#Z>T%B$+KH|J5 z;k=lT@S7_%ti+L$nKyUQ!qO+q5NRS)k*5Z$oJVJdfkJyGOE9A911A@3>(6vZ$ElzH zr5P(TAH!U|VTSD(*=KsBWGd}y&H+r7nfOsJMWH-OLJCowv4n+-6Otu^nQ(}e;zD}_ zUSDF(PtJjpdj8*O&XpLQl}C*H8KTdX0@pirZH0d|h^eYuN<7?p-DAh2EoUquZAEGX zXVH{J``uw#=mxV7d);sv(BjGqy~mTVU4Z~sI|g#cY3un?^Vw}sbw7mRd%lFr&Ti;M< z9MF^1NxishMUd;XI(H}^Q$#gA4PAcn!SHdRHG_p5qmK}5%F|m1onKUcNC}i0Cr1SD zJgLO18XA4B7e18DS{xMqPKa0hmQx1*>hxk%P6gzenL?Rz-aHK4j!d4PPd;^=(y6dn zul|(Lay~UJx!Axf(V6(x!^b-j)882!A$Xqi+PPdsow|S7P-pB+(MD%aYuL4KI}{`=AP0`Z!Ff&=7ml#{M2Yvy|44_$Qz&hqpQ=+1 z{01r`P-QTgHx8)i$OLk}fC$*9(JSC+^dbzv;UeRF47SLXYg|M52uQHsRqtGVKt}wR z-F+S2zvXjQbID5$_h3I= zi(2PCJ$S6=H0X#$qOEvuLJGqH$^J1NUpA@c;0sClBecJVbQp|Pv)~F&w@;`J6-qx~HHACRfA`pTsJs z9F~gx?DE@ZPXQIsAv!aKqPy|OH%3cMxvF*utF)~@*O>wKUGSx|8i!ol?>@C}R%IWF zE~u^|Vp4^6ty4y$B8$;quhWCzt2*=U>p&~K&0DM=il3#sF)8uC6$hZUzBBr;i=)TA zc<+X$p%herVtjl%?&(mOIG>|nyyEf7AC+ebCA|=3Bfr0+!vRHS>37cIea^PORW`bJ zsyzNV6J35!g2es>ltKchjV>^eYdFFHCj-S?_pDB|I0Ho%EZ{a0@-ss5dqLX=d}caY z7mBRJM5*Y#re0_a!-l5h0DA>A+BE61bRXuqIFKPj`>(emD!svkrgMFsWhEbR`HHTKP#=y>E(A?5qI^Z||w-(?x&bVr}utZQ%aHjK?ExCmuG z`lg#hMR;Oo)8=JUdHZSfW3e6=_^GeoXXtqon$NG}{&es<%*G1f75{e(I+RZ98ait)|QnQjP5fNXP)gDe2~szYYx5=)6Q z%+D@Jl96nb<>gHEmn3MnSLW?N+}ASfAp)dMp8?MIuK4d{0<$$gfn9p&?y1)OpDU#h ze4gT(bw#&p!9(`NLpH>c^v4+J?QGgQosonybNqcybP}A?vP)dlPQ}jhHgbO@)}C# zFJ0rFk@w!zQ@7Madj=ll8W}I`D7|zKI2$%CE6+Y!c@YiE{}=B6U-x(Nh8@|K@m;8d z1#6zx8yi8Q-cIN*4vyEa>Yhw62Rqk1r#2>i_g=j9iF5iJZP=EM)|o{CTcztMTzQU9 z5>Goc^!-z-heAa(@Tw1F5(BmyP1YzQtVUM}p=aHH>Wp;TS{#+VJyOq$$v4@Mtls9J z9p*lLfi@c|y)YfFa}{RaF02zOtuSE>xE}RoUX*e)P;olx_Vd-Yp1CWZBepIp-M(9N z?)MiaVpPPImkwP)F|L$v>>qY%u)N?ugBf&Tc(>xQzrBup@BWsP#3>mhbU5Fv6lS2P&Ct`mcmy-vem!rwKl7=;LW>2e53l`ITA9fHZ6ID{i z`XEQG!;ewO9D^XRLLgCnh$LWbYOmB&#TNj&M}N~qnovvi=N~fdkM&E8etmfF%ZN{o zT;^hQ?7HPm^J`0N%jdl-2R`|e=zA2_n%v`diQ6`H5P#Z!$1-GJ@Xuv*T3>78*0|n? z=J;sLAcdFqFIMm$m_URpW;_5_u-{)|E96<$7QC9I3L22IkQA`JhqHC(2BSRq4lmX$ z9+*SKX=ZJqzO<%vRn#lTWrLzTv`+|yyNfj;K>A6`^%$+)`HhE2Th4Ek&+s2O$C`TA zl?R{m(OT^cs^W4MeMxU1&8)P=B$heBtzCt$|ah?s;_s2W_5Y-s#zGyLnB zP;zcn4pnD-AAW&!N;x9nn|MH*uC@aq;J!}s2hi&C6zsxBHEp1;Z6p-fzXZK( zgy<ajrjP_xj?xLIkHWlcy~@gi&7(^`ac;^+=COd(&h%2C!_MtQDdbvw6Kd6RPQX>!SYJi^?xp-=8 zd_Mv2i#g2J_{)o(U%B~2-Z+lrB1J^{c$9({gR%0AOOas>%~^T0CLFt89_xAjeN#ZO zlnrQ&qL+%M7eyHQm2IL!+(Wt#ydFae6ltb^&BCG;_KTc->M%h@>&Ql5_)!1ASGfC} z76ps(JI{B(|6mboC@xGKitjx8FVa$WGTfKR0XirpE=Q`91jEA6kuP`>Lm6*N^$W&h zAIGn_I!>ZA;2Voiz%%w^^*k?ivV`6pmKe{v1-i}8Q4mN|hJ<8UPNED+~lOx^}Hp#Iju_T{LS@yGLX}>-a zC?MwyjG7ddY)>@WCOQ1_o1Aeomf_x^XW{IU%8tEj2A8*P&lEO|2s~))@>;rFkF~eF zKRj@Qg--ja=fDxubxWcPX*i3Lzf4!}epnp1tlA#<%2~gIBllME z963E}X_GQs{(8@3Fqqdn?xb3DmctPWd~OzkCbDQ7oGv9REG9OkcJivn_B7?}musIs zfZ4y5B7WEgnT@VDCY8}Ti=kjLuE7d1;FS`+ATm5rLM4DGzIuRJ3{kHU2IXS*JGJ>_ zn=H1g;k_fn`hWVmqR~VQxoSn`Z_^&TQh63bqwlAo3BSBB_VLzxlgdN{+T$8a<^jph z(ZKUI4zdD-NmLu%36bW~&l}Nl$@Yp9aZc9%2IGdkFj(q*S_?r%xb$sp+nklhePg!J zA?gwnjl#Dg&N8{a+Q>A>MA!07cr-%6RcSocDYdyeI&t0Qpu?o^B>HfrIa&nTkg7H9 z`qfE9S~Il5bV&V0y(^!)g_o}!_d^H*nhVQZV$V2FXrk0X*D+0(`xu+U#%U84?RZ6x z#pnb9MOu3*p)Jh%-!NyXhMQqvVT`Rp(T6XbcNz>ab9i z3>qyRs*JFzn6XSJ0j!WnP0Q!cq_`JqTshQ(o1@?)Yh!CqzuG*qkLpOOT_PIF zxGQ`5PQArdhbQL7S5TF1HWY`@$BsUp0}`l)nOEU{O?mIj%Pjjd^YAZe+rg{B5JY$-p9(5 zk87+rxY@W_zN|8QnX^BhoQU)%cxLrD`E8cl{1Ds*T!VnW8F7#iQ47XX#KXM+y+1F6 zDGYn%;6*;|BwGw(vSOitce~QlG`^I9SdYNC{mv;PD6o@{m%`V98=arN=ymnQ{O_mX zL_%2@PyYA@W;yvHC(V;_*M=yLO1>ic%`jMEJ1=J@ilOh=^~;$>pT=aHWjW(-vL(V3 z2y!K9>ZP_rl_24gx@7_bW0C4jWabQy^WL2;pNh0NatmrzLwQG*4d*(l`)EmpYgpzJ zjjT=MO+!-v#IaLY@BOg5aOX>YOp4o5Qy%HT@5;%ZAs#UNi;K)-2oW_e1U7$_oxS1* z>qfk@@7>LW(2~w_@6{QG?Z-(VGzI5ws7h-{AJQ#8Zh|XZ^{|3wpw%iVrBdsm@$tBg zKTTM!R06KDPUnKrIzkRp9*!^es9daFh`~O@{vS^#d>wKaE7BjHxdZrhcm15hX7HrWoTk*6BIhi((YXzGZ&er-6q)EbcQiRlF(9Do^Umd5r)M^WnrC19=klI zON!K{#H392LAkbn->zr;VuloR*wY6Oo!{N*=9hEmd32SlX*?qP=f>ixeoJe4!X;^w zr?nfY&I~-UDhWomMp5tjfNRg-I}d4lBV8S6@x>6i8c)+F{V9q>x)T1r(!HrD9v zsm;kCXuMxeHdazd>rIabPA)MuHS6$3_5Ab&uiIvs{l*y_;pL_zJe021c{&ph8j@&j zVO$xl0WU2Co*Sv;KH&32eFyAjLQs(%QMtv^O?N$7K&KGxCqjQ=k3EDgesu(CYB$(# z4S6(^0s=5q*XsO_*FQW(!`z$W0YT=L$#Z{O zu*GByzPXMLkB#kNL7%aPleMQeKd6W6Ki6(tyHM?~x~%A<%0L?n*s#q*x{=mUjDD8P zHYq-^$Qz$K3qYzgT!c`Oi4=WnFt0Rmi6GRfH%%wWVj$KwIR=Vph7dG}CN%lI*>+-x zWG}iQ!z#ztV1ty_^g6(hxb?1Wca0JcHw$3SIW| z*p(>J~cGttk+i8h-IS{SV)Ag3i{O}G2D#TR}OR!&e`r8~lBIPA%_Sti`&={>qw_I1 zgAnkY*2M8w4lWj{T2RU{V=M`9olYiGW&!_q#Q850sD+8>+gSnsXe=2dY*17vm`Y<~ zO~zjxr4U0t{8fG0sG;V4Z4iM$hcK-j= zgddUO6><-?Di0kvR&a^#6o~wv(h@xDo}XDlB4_VDur;3j!*N3>p>jQ+o_iPiijmTZ z2IJPeav^Q9S}TC(d50TarVb(1E1PY6nJ5Fv08u8U$h1WTc{-OR&3bwk_4W3;T^>5; z9@gl?AA^U>mI9w}Bp%uC1j$b2KfsY_=aKw3|A{kz!fxzw>SY0(GV$C2$$M3%c}03cPTPj#lhy+f6Sizt(*ca|9v zb^qo%n(?=n}qPy8jQ$2q1^U0;G{} zGPS{+tl~H)-_T^4i(X=y#?6x#dfsdAF_i2)@)RArhv1*iNd`Wv3qRB`NJjU3esNPff*4hwWyUq>lt-=tsQlz?((O^i~Chku}_aZY2aSU2&d8k03 zPExWKQe#UCbn-LxJ=}Us##NeVDwBM;5q$0ZTlFcYTh+*tA7FVlCe2kYn9Bfdvb3C2OH)P!8!nr9;7Fu~@!y;<5({9?lcz@;t%S@eEf_w-2NN*Zbaj zhHIDcc+$znBtqMz{XwB@u1a7}3utXBom?U?N35Hw*(3+;LIRWXx;NjIYX$BpgcqZ+ ziCJ*m4pEy4yCn81hHAar(zOG6h{sqfT!AYl*7b&b=Md#9mp08^i46AN94b261T5Ks zRY`?M2YyAia_2&+#0*5q{;P3M2b#pp^ao8XJQ@*l<49#pVfpFtTWLn`r0_wbGEcr0 z=pK+C2*f2->gXF~+1*~^rx#ICBjCO`JCtOs#>@zjTsDd9+~D(zEPJJzK}n0t5=(+F zJJBi(4dtt2 zV%IPJLS2;LI^G;t#+RG4O~^k=Ofp>F7y<>1YdwD0+fz-AnES;OMI|8h5A782gwhku z@GDQB&W&eSn5sV?!Z``o-FgDJ23cVWT*l}vtB+qb>{t7cJVXu02BXo`1hKo)-#?I5 zmO#pXDK$5=alK+D!T7qHYWK1_OUilZ>v>klY}1~>PdMs*8TARrt0QyA*VF~mt@y;N z6E?v2^PqIm5UU6F!!Ly)l7wU^Jsv3LLybGXlNQeYk?iPBnvrF5pOyXn%lT5ETt;g; zpKcq6-;G45=H?)G<3U_+IEs7e>ocu(*IYoZJ_#gyrv822481|4A|J2ehqg|pa1s)& zY`%DLUoSF3a^5d`0w~!B`U|70_9<*gw-Ky2zOUdCs^91+Xv6JrST-8Dz6G;&)vcig zw~J5(@y>lrz#=D30TqaxY0$n%Wg;_$PA6c+R(!BoOa}^(n%HCoDRk=3(@xq#Cca2G zy<nymQS=}9MIQeepwB?aui;{I7EYG{!`&nR$r?*BhQ|fnmShD`uT$DB` z!1}(@G&|yLpz=cfl?w%_unUkW%%(w4LdDwu*^`h7dJ^nZxs$1W35XH%d$O}gt~b0e zf8%HhAF0dy=Kj?Va=D5B2nCD98EEWCS5j1$9e=vwJQ z=t!J2&B)X;*=+QOfXRU~gmgKrE)h_Dow0W{LCoLPs zllLRRwqF+DuAa)xEG)0y9S9R*p}sQN(QRG!i)2AgoX23S``yLFKmwpb*iqdN6t2ACK0aQ6xIshp z11a>dLs!sYw&u|XPE|DJDo+dFe1F686(91IFZz`}QuKL86U=xQf&(K&_QOWjf8Hz8 zJ#W~3wcODpob%6&=tQ5$RR@skX9U^-!nLDl)y)pPG(P)O=9RA6_iL{>wFeJD=WXxS zVXwhf5O0~ap2`{xu^Pp8jEJ42sg!!eXHvYaw3VK?jUI?7QBVsx@rwf+H=1gI|VK;+w;p+2>({a!51raA6{!Gz` zJGwIzEOB~_ucC(jEG{m*`XE1a<><5Jg-hN-5ckTJp5tt^@{ft*?5< z`}Y!nD&{e5N&2Lnom@>N)hEO?=tHQy52UUZO`!Ehayr$~WLzFHo7LPb>MwBPYxW`Px1R}kP##NgA>0_2 zkMi<(Y&a@;WHB^B%>>a+TQf;t1A!5=VA#CJMWz*22-T@whkmj^EY7VQ^P^T`u0fSq z$c*1Jn%Gzs0OiC40HXZ^P^6OLf=LY}6R^_e;bTnQ*lUz;Vqqmk5NW|B{pKCSr z7{-Xt^wVylxB54{b|oQw@*^y%djA)fyb1kVRLv0LTOarPK@K0k1->t{LQ>EeoKANo z)QEp8ABPOpg z)=NP5BG^P&M1?Z}DABg}V)5%T$;PCeBiRq_y)$XjuLQs^QlwryWpDYEAfDU+F`Dx} zm&IcWKDG*NH*`{v!9f~*ssM>#Ay=5xu4U>}ANu%3+I3B|JYQq9I|aA@oLGY(m)0Z) zy|Wq7{7XnI|L~Q%??caujT#Ama{^2b@Z>MJzX?-v@?w#^@6l2r8}v{iihwKF>HlC_ z{dx@j8}XknWd4sYu-!y3i{f}+a6s*3kR|Flpot!1I@sMYzQi!Bd3Sy#q;9)x{oh^c z;HN|0*68{=^*&;IlS3apy#Zgw3ZaT~a}v$&20zJYdL7C@$D9_waG=4e!e(P~b|Y=? z?~MtF4l})M^}a;zQZD(?Q%<)|P`NsUD3tiwYg>;e+&&g<3hA!YpJBioOPF^%*0J){{Fh}@bp<-$8wfY@VQ*VJbRQPHGvmKuYIsXVo3H+y)wsLgfT5wm*bfH>6A&3c zure)`?*BcMQX1Bo4$2MRyT;V%w_Nsjl0FTmrUUg7s6}%{Yz`WY^BY8$xYhAkX1}cf zf>5M6FO^Ue3i|ELQ9s6Gjj`HWi~=>vaBg0d*BCVDsdme64>oSSb)2y!z34_i6{#sr zefXULXi2|0-EiylKJp*`5pXe%tEW=^Q?OS_rD8RjclW_6Eli!vb&z3STRgyb%}Q5d zEdSiZzt^cu1=P$gQy3_6N@%(xM&#anJ*E91wMkKr^&lfxBX(1lrN!4DBqBUJm>6%$ zP}#>?tKYYuHzK}wd_tiRm`>W9T1|qGiSF=P1&|^eV7#<^a|sX5|3k7L41NKEcploE z5_UYAP8qrxfFe0h)17lalk5AWjW>z`E+WNO;xylu)WdQs>G92-@&9hr)TL_`ki1KJGjg`C ziJ*Q9U)0(z8#`r*{|~C(Ixfm5Zrhe_N$EyFlfeLrvPKj6n@W3HLGzHy$%xDl(_LyA&r_YX5XfC(u&j`^wT@Vw2U zgxp4zLe;PI@|Zrja3-Z%@>#|sqy7_4j)xz9aWTEM)B2O{?A_7|0cDh-L&8Glrvr)V8P-~oAS01iD0gYj47sSc!j4A+|sJXE)p{`Eu}a?&^=0COEs@dzRjLfZwpPC z$M`_DuXgv51?aVg5MO@%QQ!(iGN6`pVMiOY{EPaqC}PJ^QEsM3!10mp6k=o$?KpN zGHh_qP**%S>fk=0XBMh+|fQ-Hi+*?{KmQBTc5qOic?@lCOKBOQ0t{!KMHh3YNX#evEA zjz8&lQ0GCJ0{8-44+bl`yN7f-#>j?yjUk3ilR}KKnJN_D%X?*;zJ1(do!19Zo16G- zjN`yS#YEf_0b%YtGt9|4!k(GWxtB-8<|I1+O3MD9_(0|Rn5P=Zq>iKT2zr5_8AQdX z`TdtbW>ty=rT>kwjvn()u^LUI{AqDoL+0fytjoi{`M98uCuQpD3Qo=4>s=~8x#+X49$CpE5Sn2J~VRINoNaV*<9`9t0Qu_wkPox$g!% z5MX0;2K$zVzj}}Z1HFZg$fh$)3{>@EKnBIxNAgiIN_F<+PZ;Zt*JN0QFW5;>Szd%P zjIu4xC+G8Qy?A5p+&%UZH%ZT2E~u5=^gB1KR z)o+c9=>tXFaOTOq;rja4?}1Sx1o?vvYtu@^4~ZO3x3BcV(TZ-6`8O@*97|P%a%tyW z)c{aMCh+BF0abdIzFzqQLLg~(f8Q(gFiIP0au|Qtnib1;%WM0ATxDn~kY3|0*{|(N z(1U)rg0zKX{-w%5V?sitR+atdqbuXSuFGZzwh#n&mS?U&!t(y*)3od+k<}Fxg%SSy zw}P-a(wceCwq54EGrX4FD_=fNP)!B37CXH3uA1_A;-h=VvRs zs7#hS)|SX(vQUJe&A&Cn+|ys`{M$2iB(d)e)F!&cDe-O0*d^%hheYg@vXm19*Fs=w zIm8itbKy@04>q4R0YLyU#BFY@5ylIJ)g80i23qzOJYpEuk@&TLUF$Y482k<2#C7Uq zpwS@VmLN}(!aTrJmJa)30a|GZC;?|J4PWqRL@7n4P4%ZXfz^Qf6&2FpYL^E%QRf3MQM)u7JCTn`F|or4R@i1-SssaI4MGzT?g zbQo_^760D1@*xvnB8@%6RWBxKq{0orTZzE#T9D8t9aN7G4qmz&-jc7hdaE>XJtj|c zTrDTAlO@2JL)_Zzxc5h7lWdtnhpsPwdTPt${i`?T-=bK9Gih+~-qnjv0`L1)e|=18 zq|5o|_v3PyaXSMn6A=WCY zeCClP6z18S*IHl;GM_z9i}`MGQ5OfGW4sL*a-vdE9mYq#JLapcj5XCfpJ%4c3jBm0 zf_y(mlLE?d+}j*@n~ECksW=)`P98TH#Jr{F*4)eU%m~wOK~dZDv4pws_^=D#(g%BL z8|}>a2Z?#d=9_6mBk_ty%BG|thXfs2N1J+9H>AIY!#C8}0!%Ag{2uQwlx{77XypDP zQFz~;+tb5?ghL3+9D5g>9f6WuzS?*UYWee6mo5|Ce?ZZijF_(<_f|=MvtcP-0K&?J zz)@1JSTRoP{ zm8+xKEkabaE`6}Hcf6H(9*$f@Kogs?k0vMLWTB^VCHqx!a!YNjCW>aUi_P{eOJT{K zwgR_{Cs)}oCV{A4u^YG3mw_g0MNO_~H%Od3dZ<0~~@RcA-2$}kNz~E39XgyyU z7a5i8;^&J!rxRW>kp0$ZRZ!CcbR-?7*RE1{Plb=Sm9!fOg=`k*-m``|sa7w2!6$ z(4wwgpwe<^^avi>Tja<|5%m{5y+mDIT^CIfD)mF5<;cm&$z|K%uk2}`l$p`~2G96i zXwBaW4zYRLK-r(;SUmL?!t;WRKP!_lsfAMaPFGaIhJ$r10T~oF#n7 z+k6licSqqmop_S-vq#9+zTAT4Utlgxf3cVsb|!{pOMNn1|6;7)b`LObGIzY08_Tt2 zfKd+j9(4ef$<+$YP>|)y!8u^vj675tL6(qr>GWLBGKLfpdaF=d>7ch~N5FkDE#4VXQSX!-YS87qx|C3j_OHLwo%_3>K^5-tJhadX+AQ!e@Z+tfW zaKa5SAOU1ab#hoI5{e7IJBm8TN=(PrUkH)UPZ?eR`LW$$Xfj?my%GsjMdIH>>kE$P z=ww9AFCzc-^Lj*KRngoa?M6~m$(~o zXO2)rseJmL#En3z^N^m%lEU1XVD6blFdSORfGe+jSR7>aMoW}_K_FYJsT^5*$6T0B z4gbKQHID2(plEsJqynrGrE9}HLAu7r=lrP#0K8Od&(K(&3$yJ5%gQ5ny6y!=1fdt> zd3>lX&M=Kreo!u<*LZ|M=TF^;lk!K?IM^6IEEv!*_vgWm_+EzXfkc=GM_oSg-cDZe zMpwB(c<%N0>Iv(gx0`S_BfDTelb0%hLsw=F=r(Ot_LnxA6Z^7z7wICF<@}{Z51wl$ z{3xpmfQ-ZkEDf049&$7LZVOQh7(cO9m)3j9M;-PpWY3_Hpz|)X+_N*08Q&Xyt~)TI z1iymLZi|0}FOr~f0PNTH>NzUbPFx)j7OeN-9om3c<^9^MCHpJ#V%CuV%%acvF5nq8 zxB$B|>^YABNs$mmT*~kUdIY)fOOe4vDwXWr@D2#x**D| zh1To^h9-uFhHCpQE`DA19*3flE9xF zFWQLaRh^tI1>Sa{@di-QTU9f7?5gBb9vTW_eF2KcYq^feh1LR?wBh6j{()Zcr_;6m z{-X4JL*~K+JnOlkWE^2+_-xcm0E2Tk2;qI1QAh_9C4v9V*$JKqBU^Kkl7;$y&(qLJ zTG&n`9%DO){CuXz1=k~Sz-nc2fGy;u`UjjJk%d%1mHp2XNp z?&qYi>ZwkF{@gbyF7=MY9l9JoA5F-BbzhEAyQP*BphLR~Hy?j3>-ns|P0T=FN$l|F` zM&SvOZx0QsRl(#rpyB|Uq<_9Cz=%aKgdgyQFrUeMPZ}w-e*27vhjuX_vK(1o9-UNe z4(Nf2MF5iJ3`8SiXD8(j8Z>TVZT5)iO^*a$rEvdXP!;54D%!!Km$V=0SwvtX}j8dHBR1 z4*;w7ff)cOEe=>l8*@n-iHQ~}m>1(L1&(u)j*nP8idPOj0D%slzfFdbX1wPxo z7Bq;q2Y@=`kNj_s0Df@csp$pSJD|Ma{_`Be47X)5istLJ>I3 zAx%d%UKHoH=lK2dwts$a#b}4?1Eud9ho91fEFBNJ=B@BXvJeNn#Mx?k){T!@p)hQq zeoK*=n=KylqhJ+9!4_gRgSHnOM(8>Li#xiWezjiUZuf8aeVE)l`?lOI3`ufz(I5>7 zEjc(O1lvya&A|DEKq(qT!o4{P!@pWfwyxbSTERVmJ?2bz3Q&q*|51u&K9m5UU6pdz zZXI#Q)R>B(p@IXlo7ca@*cYg?PB)7Mewh9XiTsMwXKmAM581rSsQeY~ExNt$?MGlN z=u(o&RU4xbso$c;wN}JPbsBCUR~x;r-n03W)^cwtLgWmo4A=*j4AuvlatfVnk~y6^ zWQ|;r5q%V5C&sTRKmq+#@7sMK-12r*rn&Vi!_cJsSN9h6bQI9vu-;cqmjGM-900}1 z#2x)yl}vrgbLMDeHGKvMsxr+08GO>|A@dD@#(d^#9XZk%b|vFn7fbbPavuEfh)kk@ zxzCAd8ZeC-RFEQ4NN+A+ZN|j&g-B^|Yq7Al-eM_8dW`R^NLyJk!W~&sUe0_cW?Ynn zXI%yjiUBm`ZQHq1dB6kGoe-5^Z18rjr^*8Eu+OBox;GyRaaxc_g4Q*|`>eZN6~XK6 zGnlPpZ+CY2&LF6Nx7>M8ak~~6L}>};7g|=^b+M;~Y3XmhNp{EJ#_)y^A2Q}>+zGMz zy8WsWn0GD!;?w|$@aN|RIlt{0*t!+K*75qCp0-XB^Dq4Gy2?i;dDghc#ZOqy-;8ER zM&S^p9v&eBhoVUrwI(ujpAA||au&VPp|(V==;kd~R4&(baQ6o}K3yL#<3ciJ7_ z3&qxir0>~%6WekcsDjYx)mmsCrWGFnloYyru3GyaafGF{nKUrx7b(Z158sVg2)gaE zf!r2d>F#>;jcQK1FeevIh5a)FAJQQB!|-p`d!RflkBR2TPALZ!4(ExPeALmJKWebU zAG9%#`a8K)ph^sLUx}1}`Aq+VQ%w=j?d20?f@TR*@aEkoB$}pHz%7JaTSjG~g|g+jzQGNuLN2|@&+uhbEGS!>(#_t*`A#8t440x2z3*k$@ewoxLJ&i{nHa)Z ziTq#CFt@HFi6g*ZVT5wOxAJN=w|Mm_IrEj*O$w}npdt|f$l_r zWinNOX_Dp@Z2x)@!)JKh`ne?NRwb*^h#!pqtKD@K=wc89g5I#?vMbAukLX%HKIGym zXFLwtfOoPE%pRa~Hq3Csq*9jsZsD~KIz1)p63mHulF#v~_;vKE>WTSZ9A9}3 zHwvDYYZOg*>ZOQ{AIS#gE`X>}3xp@8JQngw^9g<-lBO&Zq92y`&pkU$T+s}Z;pwUm zw;PQPx_Sb}AY^C0`R|^`jN*IUP9Fl1F?{?S5{L=4eZdR=T=`C}gFB83V)pM1g*d@E z#r~0#LQ%u=tul&~pG5NIejQ6 zu@LXoPHctqdkV-2CAGoz zRtX8=QuaYW1%TWMMBbebvKRE#5n1wgqC0DAA<(2*kehGB1$Ad)I=x|_kQF>OwenIb zIucjqiv|5kXW(3~N1l)vUQa&^IC?CHcEcN0I4aU$zM=$s;@QzZ6pFH>{xTNV!QX=f zty^hK(eSLe0d6}qO$0bxNDO6)QUaSBgL4&HKY`}e?h8d5E6l#ctAif*E4R~!HwS~b zy8$i1Djq{)9H1P{jMWYfEZj*49G*H zvWDDUZ5r0M#JpO+bFS|v&Xk-lcl{?lyE=^eE6VAbCKp~0B-Oxr;PN;BQN#L-*cIsI zm1nek!b3<URS~it7!6skNadFKFn=Yv>tT3|Md~14;N29Tw5HnOjq4lg8*{xQqk-Sn? z62;QlQokdfUaj4XGuXaE2R5hr-dCN-4S{&}T7KY83!?J$jA11%^tW7qA;sxWPrN^7 znDy?Re`Ey$3bXYOd25SQyzGg&$pJh}9THKNll* ziJk;j;^G0vTZjE!A83!mHU9aquv^C!i4kaV#xcJARF0=4VRomXTyCiGl$YaCzNp8B z*M1w)+ppsz&;(BVjAh#COULutya&5Y1(Vq4U`}c+r9FG&s0#w7NOM zG1Za540nsp{03Hf??=C_6T05f1e7pne2EHC>d7VRV=QO{pt!kS^$Q0$_dW$ZGFh-5 zfPQIOC=tCQfhccOrk=&q$m!0KoEd&e#stuckep-pnc*w3BM-MfQS&n>gaVjL7*d^C zzdk)?)!$V7BC`0Py(xCsc(NO`P0o>P{tebERx7oO*YDhooNf&m&{oIjc&1?^8pig? zF1-DV*}Fg(AIT&}3)JpsAjw4RT`!9cfvVU#|DbZr0&Kmj=wR+it zxe-}hmG?DTq3u`PuT^KDQ?2)2v1;|dGQ~}q_IkN;nSfq0zzL$OMLVic#MHiZ`Sx(K znw3Qn%7aVSXKD8)2K0kcBnqsauZ{gRbX!;cSza#xh(1>9Y0*S0vT*{SdRi+hMgYt+Ik#W;v@U_D+=3LPNW`zsEK&C@zw+lI09LH3K~ym3_A%VU~`)g#kiTuhXMDy zTsQY=s>b8!&{WQ5UQ<^y@8AYhqoRD*+7JaZQJq|#QX=Ukx%r1c{Z2>CbI*AHtN2g^ zXY)hTYHam(VYnZ`UcV`myJn{w{3(2Qe>%f=`-7)GQ^2$bv=}Jh7@DcN&mu4++Vh4? zyK+rO{=V?!Okx^FaNh2BNjdLK2gv-W&V(0SjP_&oVo*>iqH$& zJQSPYC3f9ql6Fd{`;HRypz;u3$ss?8AKRV>4`Z^gI}&jxC{pSqg4-}P5_%tXNP7x<0X`E=qyQS0sZgxPtk^&l@DGT|4g=n7PY8@g}TYdeslu3&G1i%O3(Qk*ZRm zXX0B<$CVU7rk)0TLZ`oo9`6H~VhWq2*=wm*fhc|YzPN{o^DpZvWo2!~RvD&?KgB`Y z4YZ9@qvIHc|8%LNz?;E=^nsNVSai~tKEwOJa%KruX9*i1z%8Ci9~aDi=N5P&rkOya z{U-6lnk|hcu#I~-%S*4`dL2G$A20UXQ2-wRuicA{>wp*PQ`gVYRY-W zu`sfT#`5UBOU$$_??oG)^@kIpx!^p2ByHg_oM zr3;}Hl~lr3I`y#L*J~}uy(O&U6gz)hZ%^29#0P`dJI$@*Tduq8r3}Eo_t2@%gK?$~Y^@*s!UdtXbY(K_}bcKbV8(Kz&EE6(DjwTcsZM z_KyAcx_4(W zzrYr~4FUy_<<4Bh7pzZDKep^H8becoFqtJ=G>HMII8#agsT&z&n8@kEY^~1m4(C2sM{VqgMJpQ?YHDslm45V@ zqFmBjQa4AM_!a3!gkyX;!R$D*y>-M-_QdhXsLUg$E55E9F%oGJ{v14eo>UguUs<=s z`kex~hMI#1&a$~Ns}n`t8vq(J`TIKvFu#j^S&hBWLK9qo5&$Tl1=tdgo`(0$B$C1F z<-yy74u4yKvy)$fe!Tfq*MYfHbFnG^1?Y9B4jXn#Sn@7Q2uyMiIJ{nqs6|+teGG)9 zdgWx~ecMjD_Fqz)_|^H{UPg1`*L4^fV6&AY^-?Ur&q8afjBqpBr#!meIjOm}0ajj# zc{69%J*eyY@}^m5M!F~!kc^k1c(UvWx=9Iq7h!9Z-Ky+ggiTfCiCVxZ4t8_9mY~mo z@HPfj@uUHB{%nwypk!p~i~%GM#(&NAa9M>#>ty*g0gLZA!2w$coR232liqGBuLe;Y z|GaY<1tZ;dd#k}ykf)Xh)S#D}yN@SBF5fq|NRs;*?1nb|F zb%l6ty}H_#Gx_f{{8dx=b8k`IGd_YQ(mUtJ!vj0&k^1yGq(B16DAJfU_@g7V>K-v~ z6;S__&L_P;=*7+nHOMvUx}9%|j6gUR0z&18OswI}7~!~ng|F7>f6xBy?PW$e1oI@X zJK0sBP=Z#&$CNl3<-f)3F)D;EuanzkqRo}2JElSW_zt{lG-lf75Z0-?HPte$+FuG> z2b>`&KxnLAo9;l*+<*(za*_(Mc|5$ylFO~{$hqzdoxj1cAdj&Z{$>UUC+k=%q2BU9 zWC3o+NscTmj6+auhJiCJ#!ux0e%dab{ldgX`x~=&7mb!wU4?0mQ|8`z!g&d&%4p;Q zR8_rdI*uS`l1mHrR_ssLU~-4;mTtMUskySi(Zw)a7thj(_SbyN$6L9m*4-Bf2Ht7V zf>&?*^B|WT^8q2krjD9=m)8guxF$IoYRE6amO7*fE_n-c4np(u%be?WEYh`3t|ez9 z&k(YeP$28c<7mqTxycj}TO2mmno9$R5C709c-HyLK^vGmcYV3`iOwC2%GmNlkbh<3vgzSrCK8ev-Tn{*1U z5?^t@7y=13!k;{!s!OcMpYn(GnsoHGb-?!$9<+wkr7&cxP)-$Rsv4auprhpnYbp*s^$Aq7!4uAfpyd8ctRrCom&F|DL%Sy`(@JB~s zIU^7@=5@3oB&wyp0Iyv+ckx5C3<}h5#_Rf5Trc0^_6dJWs`CHPA?VxpAveGo?KuRD zF>kj&AAw8?t@tu^vnTV*Yz!~99}slh#N-$zH>IZsVQGCU07hq8+YOP4iBU+!$7V|b zS3KIB^sT1&QD6VygT8Hp0YvX>r?vf;PhP9|;vg~re>xY4&jvr>`hObU*Z#}ZSDJK&gbydCS`xu?q?lkQ-A{)Tz zJ5HKr`XB=^Fw;L9Zv$s(WGjGL8EhBi6}t$cTZOaTrafai{-wePgUoiiezXPmWvNC< z17&aT&=Woim?iM~-Y4b7Yv}5)0}esb@gO$~n#0&aeSU}g#lN5aGU4eZV?%rgMjvgK zhg;G`IREUpvY>iz)n5IV(y|IjH|EpO5@`2n@TOj6M96S>_QOH$fg?`fLHX7%?qzGw zUC!N96blF&Ks_|@;gQ}#)<$oU4Qh!7<}y@c3p6WPt&S|=Z=Td&t(h76&*GO$*<`+IeP@_E_7y7g}K$XZK! ze&3Bx!Ouzx5S}#+2A8+5OK4wzT=kL>A7_7WHEcA~tALm~ispWM074Y*cpd~0x;^EQ z^3RoDlg$N)-wjXg@(mVO*kL|i>OloeoJ`DJWm+by-$y3->wId$N@PbB$DoyV)BM3> zxuwkCF+k?~AeI(z_R0l#;^?w!wK+2zU9QgmBwvAC@=C5$1|-Nr>S}|mLh}S10Q{L_ z0T{x-AMo*oK6YQCie@$-1RS>DrMR4|KC<2%PVn$^@U4y8ldDYP%uHa#&IN&@&>LrT z;FSz~M(E=owje`kH&ai51izlQbKt{6y=tD0GnRLw*-+R40)af=9p-GVF3hfP;dJ%y z9s?Zon)j{={ujN)pA2MVtI;R!=$yW0ky1pL-YY_ny0}lh{5CLOVJK`JT(ptN`*4*5 z#ZGGC^4M{nN!71O^Y)EuwsOE+CYr&^)!Mv+Y0nXf&5mr@ej z%KPXMIF|3ge>dL!cA64%F(armd&?*jnY4P;c}P9@4OcD%_I*R|JWL0y&3LH6A1jT|I{b$bx zG^14BkAjBXC@-%r6%IILMp`H_v8IpZPwG%>6=^+n_bHw-VynnxeM4b*d3$xJ_~$RL zt2Vmb`WtqQrld!KDAFgyll99HYC)O7{gI|o;+kCph4tJ2nl`uloq_gFhfczGqO43Glj3RV!>LO<7Hbyb26H9UY1}O2G&ISC z#Qf-p$)S?W0kr$Q8rdxM}98GP8z5qdwcF!A92}$ zPO&`_&9P+XgZteYB+4`$&Byyv?1ex`*B=&z^&ur3sqW(Nxkt_cIjle1X%7qprT&*r zD4wo?Mw!!_2TfY@Qw@6~v9k4f>1iFfL)A~vjr;UYA74QofU}ilj*oxFm6&*lj7A^F zq&0V=jy`8cVqb)e0n5J?=bAsH*VlAs$L}T&r6G5w2=EumBd^M=I#+9DZbp+8n$k}g z=p{G0D-_$J|NnT|o+&xxAUFH!dCV5Wstj<;`}?MV@LTO6jkzYxvf#P-s4`8+kK)w< zIKsZioIMMYJ&e;;uUbEH5Mpl}e=?dVC4hg}#ZMo;NJ8 zn?r6!_qDGo`8wjY|IVj1q*_9uxz&Mt3s&S~-#W%^A4)Ejz0{8<`0xk~_eRTfAVy80+Lr=5A*U3gxqBa+H50 z5BDE#gNF41%!q3x&&}+K z4Kq1Yzj2y7J6|PTy+VC@$uHGL`^vTM7bGustV`@~^1`$5mO&HQ%1^~=$7>kaBYpN_X0nK4{FZq(H|VcI_+JIWDJ>RvJmNN- zpRJf$iG-7$B6PS7fNkyDT1UQ2c4j`c!<b|i zJFKyr6w>@ra|`iD6DD$fCxI1+h_>=|nYOecf%5A|p4Z4FSp`pwOjre01%|LKWI^vb zM8)|D&eOX6XCPh1+KH8L1h*lob;$pGjWqm?7m$#mR6@?!Drr7`N#=axpv!WhQ!1^&QVOY;c$Ol5u-i%aKrT!^KW+ss zM3Qys9a|#615V}4z&<#5af8aEY28w?LXqBI#AW{(w4g!EVwn$so+cFCzNx{IC=KI zN6hMLd+F$}36|uO|6P*D?GJEmX;q#mmgl@i3X3~Bu)h^fTam}Zj#?UizW=t;Z$|hA z@lQAX@|}96oUklD)~g}b7DkuMPP08F4hf zwLIIwEf^%#J)|tgqjSi{$PAY2e1-Q`vJJn|Gjol*_JBpN&DfC@Ik0oOh%WCW=;tbo z8Vn_>zpFffPqP>Hg5T;7|0GZT{#Y3sA27_K@_fHwJ7Bsn+)|`|i2ukQl3+ia|Srj&q)XdW+ba(?GKQO9-@;BeJ zh%~x+(V#r01ts~XJO}ICX9mT#A+M(p+5+Y4QJf>wI1*%w+PBi!6>oN{C~s+!7FT*uk`f?4%dgA-fbxPph=u4ngpLJ)9b$OKRhNl#+0lKk9^^2^0WKr6G@ ze=Lmky^X;M<^~cp?7GJq=WHHV7K`+OLnr_g3+>^mZ{6PAnN%U$wwqDg%O!w(%}aeL z3?N=0d?fU}fK*##CIbGO(g)pgnLH{pnLDp&REX_M+PMRpYrlRa3NFW~aQ0P^JcOg0 zVfnYbh)YsF1X|cIB;pP}1^Jey@AU6m=%Tk;pH6n*t#1)j{Di+jVkW^} zu%%lOpNtioV(y_wkmzCfi-gaMLHECZ{*22O97=ZB^{{q}Q@kbhMU4GGONpm_Znnpg z0stqzD_aY(-!_*=Y#;ntY`9!#5J^BZXmg}U$hv2n`u1~t3TBaSAR#S72CJEu?IoEp z)%{*AkT|~Xm@Q!}5teRI+JHF}qsP%lv|Ae6AIk{E z#$c@`zCn3#x1u(w{jQh!>bL%s)gzO|iB-h*8H3w}z3h|)9Q>?AT5l@dHM)F_$h>g< z$?q-s`!Vc#Ig?6;=PCSLZql~v+JWwc+q|f}yu|dF46C8uI?HvHXYW&lr|H0;D5j@v zEPW6Qe908}nZQnuQN?ap`#S~7x%`{aMKa@gacoS*Q8FR8-;+XH_zHL&wnf?IHPzQM zwh2;@EnyrlksOO*qQuAsD|)vq{TU5vcRP(dZwMe|fp=ou6_@``7iYyUDq#t4;rQGV zx?L>}N(i7RUU-RpK5|xMk>0%#tqx`VnQ=aZv`@?8UcHFdyaT03tHl$cfH^P57+!5m zh&bO2Gd`GYW%T_k*g=6gB3f0z9iI11xsv3ijjtDm>6s$q+{K3%qC-s#Fk)Vr2HM*n zxv?k<`ATcH<;G`U|6RI`2c~%AW|+OV3?#N!CiLTB>j@`Np~U^C#oJpUFUE8<=oe{= zYpRR)bd&3TT!-T8uBld9x5Rg5`&49ln1r8pu7$RlW0b`-el!xeDp=s)V@N9#fNPMY zx7!zLNZ`0b-L7YmWA)n z;+-E$d}Ww=mv(0*Be;NDAL;eDfrVGZ#W&Id-#kZ2Td!v$hZZ-V-aH`Jc|aK3pWK$o zgS1ykDRI#zg^kP`x5ez9uh07zZj>{^q`h~YQ@wFNeKfT-A!bs-k*$IL5YteU(8Oni z#N~x#V>!#r`}q+ikmWr}Qgl@5x)N3(Y{q1E-0Hb@^n6Su)m-aJ>)A3JuCHqBcS)Hj z*wPNUT>RHw%t^_(F!Gb zI`wg;_J-D{>tCc5S-K^eZno{`JJ7g!Jtt@IKrMA?#z^B9|NJR!K-Cjp^0Tp}puocW zqCPsB=!KDyoU+8T>+kPFULl-=wlJ!pc=!#3w{x|O^$CMZHZ&KpucFS< z+Ums4wLTDOn?gP(UkaCrckCLP!>jth8fPdFZ;d1T07o=?MHjl)IT|dPW5d)AhH<5A zK}lmIRVRfn#FD^+q!&o+JU*a&7xY@HSoUheoc?{cJIB6DMa9?!U*A!tjYHO(zRlTD z`dGCBVZJ&l)5;Q(BASuM(A@J}%>}PE8KvKUM5H&z+zHm~lZi_?FMEWbTjG109K0gv za1RoE&aR@`o0>f8x}p&fX&w8M!s_+c~Of zBdyOzj(jWM+mjm43B|xXaSqPI<+080CifUy^==Y9w^Kgru~+`=MXk`_hbu46LCP@O zwMqNdNykFInIhs1%Q1Re=%D2D_i{k8zW*8AP!NDG3#m23Y4bLdVD_scDv=<8U!Mwo zr1JT)cW*zxsCKm~MLv=dvitcHx54GXT5rHG-@#W&)=t4PcR!0hvhWpp{$DWiN^ypp zgSXtf0c9lGzfYG7c9h8^scWKJ;Itu^w-ZrIZS^!zJLdL`5y6x-X!66T8@#u zTHVpw#O>ju(N?uky_1Y$Zf^F+Z+s@iw*>jkWCp&TY(>yWi;h+JZ*iic^_a`cO>#Zi zzq9eVWiw%7nU_@`Yurf+X}n%!ahUb^%At2^kK?|BzSOgo89pr5I~%Dewn}WIG&``g z`;Hw4cpe61f$i_tGyO4vOCS&U^6BEY>>z68GShnJ%rhgla*L-m_1WtenU77Zi zHJBs4VE)0uxb>glrx|ZzKq;D=BtqwM7Q!N6`Y={=6D&*0bv-pWZRuW&=?86MS%~0J zb>O=?hwPkyc_Cu3#u|;uLS;24-q!y5Wv8Lg4n(x;RyDcTRx~s;sUPTUy#Is=2MJ*R zGFC*YrD%7=w*}CT%KgU2G7AwC*z3sGZVqDdy%2PLF3*2+t`S6q^|Ika=$%5|nZcrK zXF)XM1euKE*^Zo2lBIf@(RSFkbk;k>iJe_Fl9uoIxz-1#Cn=Q#%ehzy!hztQK+3FD_&kiB%9KUiV5+lgHsOjO^85Ea6^Kiv`S!p7I!pf6I!C;Nol zB<3`o_U`LCg%V?gf1|%SKQt!p7BxJpA~-*!TQ`l`Igofj=qEdxn;yYP8b4KzPql!p zTnha|{HaBm5It4Y5mfNQhA=N%Pco!*7o78MeCeLDx-Pats}o^>%O5J@9#N_boMFBb zCD3f^$L^yb?h})}^5EX}Hg2cheK*P?jp5E`l$dYrv0374iZtZI z_&)XuK$3ZpM)Vn6px3!pZc-SFk?=iN_3KC^msg6Z;J1}HnzKB1wayCu+~6Su^~#@< z7BBBhvdDI}a=Pg;x(=jF#KG?{O2LZZ_};U|D#V?C7=qYOX}QF=Nz6_zjDL~z2sQQN zjeG|igP@dlxXD_cim17-7r3;W1jV$UY(IP99t~cBkHI<{K_TgDBTlst`te1K*2C!t zB_7EX(?0}Z^*ae$^}E}I+sv=``!D3lQj|1A_NR7P7j21_kqE8sBw0Fb`)#$Sf5=}G zUu`9~&_@15Ki$yviIiS=OamRs}*)i_H|3=gxf9ZVxv7jb!UF+5cajLGkCx)5+h z-4a&{d`wfw?EZn-!$} z=3WR{$wQxqxCK8R9xTtXsh+{UBM6fo-)F^IqMNI2u7?cEH0aX=@|i>gGdt z*zEU2+wCFmhjj)So2=`a{u*$(p3J?#bmyjC{YDw>+`DFnUur?7GtwRNn6&lq;F+ZD z^V&D8yz7rBF*n1bpremeM)cRwgQX|)iw+x~NBXc?b|dLrkZ%d=%ZC^6Rh)^)HN%P4e$@4bJNdB)54a`l_qcMwZ?oS7KW@9@9^-C~ zuk>1Mzqj0MnCEm^y(o2gTOU<_k@WIMW0X6S8CiJVY4`hK-eXf((7n;URNmDLE)8^) zGC?R?e_BeI1@2B0hZaAi!}^8wV9dQQUMuHBsLj2YAN=zX|6LPDN~;kOd&Zvn!^0ed*wnnD-y;T1VVcwE^`^D<_%@LbHy?2Nm z@1;ZRHyMQ5C*ztFqPxrQmeW&FNC>Mz$aSF9yf1$;dH{hZ?t?t6O4lssN_Op3;xFG~{7L`wIv#`yAiuU&b9 z#(BxdG`q!+w0#l*7vFi0RbS5^_fs1-*@%Eq*UC)7c?I>mDFzogAt+LYW~5W@ca8JK z8t?pVu9jb=1olE+|AEu~C*I>9xbf|s0@Y*0lwU$Iy1qXki41bMlM!r4O8T6KDjV}> zaHP!^5*O!cf$yInL%ulqhDq@|0{cvd(vrThW)>vJI?U0mXc zQH14-O-j?yH()NVlvwKKJrF!C41s)nx#r_F@3yOwWEs5~t@mbCb=0TlHB#R7ux&Mi zAif<;d8&o(?}l_U!V%VC%wE{kjY?m}`uNwiwy%x?Z;B|F{LG1RthTx??afLmv$CO@ zJYmBk4~~g~zA1}XkK;2lIxEm~?DaXbo_fafNI&>3IvE<5_KjPjQsenDP1$AdYqvC> zOciCJlEtnZHYB~>cHGK$0t}7*FL`^Gu|`0qYk1=;)taM%{&oBr{dZnP;ybvzerY|w zms)5fmi&l7EA@7NMFeU(B%SA*8m#xZQ*``scClHV9)kk*gD?q&q~UyF|JKM7mps7LX7TkW#uqLK=orVi1I(8|f11dJoU{ z_s2U6*K#d!;-34Qz4vu}_St-LI`2Hwm?8b4byqnar-+1Q`CYAf?W0!mfiy8b{LwKA z6xrnuqQvsa40;IvGp>oT3?jWLYN|D!5 zMRd@9g>rkcggbXS`z-J-TeSUnL$ux1gqWM*`pM{-garb@Q}_BWA{0laW~Ract$!lt z8LsRa4XA`_0+OG+@uMfc+3ZCczU~e=MQ6stw<_iD zrj9PbBp-&AWTu;J!*{J18B7{*QYubjBp_qEi0F>w9Q`u+4XEHn`8SPb9RVf>SN>AKwmeX5VvDAV!atfQ8yBgBvB zX?E8q-C90o!|pi&8HU->C>iO-2OS}AsLZB>qa(&Wv@#yb3#pwy#gtBho3w;C9Tc>k z&&LIf@@It()iqstInj%pJ|)rdyxuI?JMVqc(phI9*SLqqKX*Fx_I|+K}#mmaaWL7fQ@DJ zFjz!ho8qIvI&0SG(awhV>aF3-VcCXvICH0B-7$%tV2?f;JI159wt-{gNROU&4keLi zb-CnA#+boLy{EU-x2I!ffpEgN%Y#H7!MbsA@;J2F|O8)=cq zDmK7m+P`6i_}OvXI+%x7wEZHfxVhg2U*0ylmNhYkH|furzC2CT@BLhsqet9dQr8mp zl$!HWQa(mfa_XG#u{g%LySlKQCiybrr@T)`m@8Xs@?Vvq9D#QZ5doo744op31LAl~ z$Cf&jcV;(iq>ln#>bu#~pe7DjofHOxA~1#BUT$376<^MfFRUWS4TJ}^;j>PTT0S)k zjT)i?V^Z;O9JQYx_*!!Cm>YRxz--nHb8PZoaQ1Co3DKDeL5e zE^)PbJ~St<_TxC0lPR~hC(5}^+cQFs{1P2(r)*aWIeH`zgaGwBhx<$BCi_(F7r4EK zc!@0Jv)_G|;(xszZzZ3Ao=`uNE!E*gtp8A2Z*9QZV2vGpGx3GuPipxkDzU65Qdowc zbue=V@&%c4M>#B1&GC8YE!X`0UIrLol&S=#$r-OHYW+F_iOaktMNaupe{G6NCoy-& z42S!`@!`~y;@kp>*kZ?}-4d~Z(?gKFX&5X0c%c*qL@7o)3O{Cdd|_{;n(rKM9WEH| z>o-n9?87)Zn=nOHA980jx0m7^jAR}*sTW&2Klu$8KA+4yh73E)4mPpn|YPebA{CL4Xn(a@c>Jp?ZxoekT0y3xq zSVRa5zS1whd6!bTraAxNPEFA(IvG-9n4uuE^}~J&$cRUh12qkU!q^~FK*chn(?YF( zPKOaUP`+=+UI%>92J2_poDC;^uBX50hPaQJk?=3gzZ1iXal>p~|Mo!G5)?yz&kVfK zN{V2)nt8Jud$0KBd@_N7Opp8medy8Y{@I)#xO!>P`_-|N``AD>)F{Qyg&N9h@`ZuA zfo$-`g8J=3bu3VzE^LFr6$dFvu2i}#C{kN6$$PxeiqwC~XCq7ZL&{eT+4zAcW93yy~~#=ANYY!c+N7q3xK$;e~voC%3h!9r+7b&6^?5$j8R zA+9~4_Gc5-Alg;_39~yooy1=WH1ycdN{^4AdMyyDLE^F%p@LUL?5?W{Ca!-{U(b2| z5Db0jyFdTt+H+Xr`n>zcdC!pK(}@~9NG#Wef~+j{;CSgaIX>EeWac|QP^qCOFjX4w zu(_v&h?H+K!I+qg&0g_5WSiTTcq3nPg{NH^OhBQW*#r=Zch)a3gK}W*qpp_Cz@zO)=)s0Rbj(2q>3tLa2Sk zL1sz!wXf*LpYnK*oF1XUaGqm>BVolxWYWXvvdOb`YA1DiYAe%4SWrj8*(i$}etrX% zG?VcyR>})Ar!dwf8cergTA%%zpv4$DH6hEdi;1TPz2Ny?r5g-G!s>NY@Kau#VbruM zY#*f52@8ny35gB}-4%lzn<20W54-{#o~J+za{xyVgeL!|231tdublW7DSi3XtFEqI zHb!)>$BNA~@$3CpA?u-?qjsM0clwYL2Voie5@Coz&3rYZO0scnLP#wh@daE$-rV&0 zP1TlQaOdElecwQt-Y~vOUA}`P`GieTh8|5N&h=B_%=dgKO0}}fqv{B@q{7U9`Pn4*cM-bIMu4K(Picgr2@NKdq>|S7IK1sWr<{uU?vwRTK zth`KOM4ie~Y1}X7tu!aRqBtUuypn-4@ff#=_De^d_47}^apA~47IXIc1bqVBs?K~- zrj_A&`1xMS>|qOt;_ZzRd>unzMcuCX#Vc-K^a!H;f?3v|u^3Q$sn!2YZewv;SDf8Y z*+umzwUnncdM+8>Bs`P*&dDGMlGyo{`D(^DzA=HlAle~vqEFQ#Z#Lq0bkIj}eS@a z)cJl=abdmdvV3KJzEzq-MpoqxO^%k0F?*yXI%D8rmubm?xz~igjwC}47dEP%zB;`M z%b>t7ueOkjzw3J?2fU`ug0ftn1=840hxd%z1N{sy)8QWs&5Z4+`%ocbu=jE*TQi;& zHN_<*pL%L{W5BTr1>cZ@!)KWp8UAC5gHHk9iJxY>!H>_XtJ3o2*JePX^yQ}PrQ4_C zii*z)*d-0(to-z7XlMuJW^LlB!7tezot!k8U_2wKzUu&g!X*(MZLg%DZpCHQ+-f$GNv`0+Jm74qsmV&kd^ zI;dF(ZTVhVx$lE~=@CDV^;)pLzFzU<%YIM=Rb%#VqAjH2?|8);ym5EG(uozb$3GWuhwY!e`D6r69@;4Go9(UMgp`{sq8|}B=Qhs<2 zCP^HA0WxvjaU2i=kfQg|@O~G2>`LJI@!kDv8<6)-FeDZ|N_xIah#7ts0bj;cAAg(7 zHoW0?yTxkxRaXTE&c}!UMN@x*XNy;Tyg^H)u?X=*Jp}@}AGzMf9h7~HS}hUuxIpk? zu5#zH@}Jm99I?R92f@Qa$V0mRihsV6BK-KP@z1^>@QH}-cxdC>f7X^GSC0ax`QG(Y zf$!=oqV3<9s#Kwa^xlzgWnL=ovg`Q|EzW<9uLLg{vp4G6%nn54^R)U{!oZBeM;6q7 z6mt-U_8IJ;Z;}-bhlj-VukN7B4V?M6$yAn>gh{9v4){iGp)?FCQ*~ z#0*|gY5jTs1|?vkFfd8;N18Yh440ATi9 zy7a+-{N6_tVoE-m!}5fKz~e`OH+>KIgJ#&!6|{GEwfLyVw8e~{?XBSH{6S&L5;_yT zOL@L~YOOtiyMALHKK0BW)DERHye%s&PFXE<+)Zcs{|Q&VO?R4f;eo%I_f9MMLW}MdXH!NM}GpMH9a-e#B-BgQ(RVdm5toF zv6W;nR2wgMdi^?3&~{f9I(Vy2t_WWHKtxzL;cx*tIk~WWcGW=>|4gCK!R*)X-~Z%) z&M{)Yb$u{c8{NNZ{^s>-Iuao*UET5bA3o?)#XJ>P8*gnbDcLfGG+q43{Ny~_a1*(4 z;X*3^{yE#@`V2pxYvYwJ}zNYiG1Tu)Y3R*Rzc^;=77E&Y?B zKY#v+Wch)`M*xo8x?MfH+5qwQ3?FXvdv31v!POW#1F_eor=Lccul5b(8Rqg;o>YTPBNb7}WKbQt0U8&~4dM z`OXkrS?q5{jBn0Icu8=7tyVstQ^Kha_^65+2eXqMuV~#<8<0ecWd7m(B8m)G)&d7T zTT#CLMOFNfP%;+^o$9kS7DirTNbS6;RNkl6?46`FUvs6Yjis7{K_jc{VID_ddy19u zG4D>ou-q5OA*$uKr-d`2R(8^;%@HAb%+nXt{D3w0V+ zyr@@2o|FN^$=0}1i`+KmNrUIsSTc82>)+I}%4Ww~3d5J$>DQftVDDr%1+nRPXM0!E4(2Ps|>kl3mBob}+J6h>AP91a6Rsn}rA#~$CAg^A(z6K|F-NC%1 zMW7}PLp$$G{nkI&!roVHH50=5+CMvH&3c67m2RiNh_zHYYJ87YY*c%|F0SWBX9&)L zv7qil(yh`#-n5+<)aB(Z7d~Y00&h5<^Q~pN%hwSC%9hB44Tc zIbh8K!bkFQ{@^6_q4uo>w5|bFc zl~TYpsMvc3G^mArtQ?%MhenGuU8@f+-;b*ESu^?%$wf~rY{#3z&!@LP`!@2Rf4XzM z`>aZbR@K>U-O455LY^%cIcqruUCR>*xlyi{gxFIgUyv7 z0VW+6)O1@g)IZT>NK|^)zq;3DaTu0V2jwiN$|%H1v$d~-O7#2XSf(TVB^lUAW75Ei z?SD3+^0&~EnhBQG3~p5S4RsZVcqZ&o!MzFnGJ`fTcih9jgSeqZ~^ zKPNyO^Qj-lsA*$z8&=}$s32zp=%}DZ)fPk77jUc^_r4NBEws>3U({EQfyBd_E*R99 zeB?|?5QyhlBcuSF z5jC)XrMnbpzLA2F)&(50!;i>W62pq^#i;zu+wUcOJpw=bURZ6s?5E{8z5ZAA&>cTx z@t}{McNcg$OUp{M{v#U+XVZi*qkfV0#rWCm=?Gwg$CyjC;3bytD5^bSavzFDXvmm{ z*&c3>FyFiPR!Xnv$1SnL>~!vn#@oX&Gq&Bd&=9{0f_tbS(n?(PN%4v=M4|=z?1x`w4A%L!`k=zLQiyE^kkZlmtte4s9p0lYtV))en+4;m3SoC z`zE5}#Sj0pO<4Eu@E@}l7Z-ymSf4=5lg0Lv4r&EyN&;tWl^dOivA#aBd}&q3tv^W` z(kIg)!w_r?iTzJfY^PPr`~2By@L$H8~1mc1c| z?RR!}dvNzmU%jfL;zk^C?6ciW7-=cXB_(8g4o$NKzYuh?J&|^jE)SBVVY>bsJ$-#w zIxGl>m^JMElf4in-+4=yYLKDaB-l<@aggF1O7iNcY)Gua-j7?*Tp-@1zfwd$2YJ_l zwXwG`OA=(T_9D_!Z^jyx*(S{SUt&f;FNGobBobM*Cc4jZweSg?BC-~<)lcs|gwCXn ziCc;CmkLFJh`Hg4koY|I}y}YX?{#VN)zr45lM|-$*$@ zOW6ta=M5;hHumEkbD%M6+YII7<{_fqUh+fd|(=IEP8|Xwxiv`Fn0PydUrn z!-h<5z7U}g#;N3TPFA_3^*n6r00(ve!Oy!)ILSV_FLQwZFb*n-8V;K`7(9APHmfjL zH+jZk^AEw0T|Z+ty|GPl8m}sehWggE+mY)J$<$Z=XVE90y~dyF33b-tqo>tKBh9R* zZ?j1zAk00NFK1V`ReMqJYcISHnpt$W8)Z^emh;^#>=dVn- zL&mtGwvC{A+*WhDh*vq#d>qqk7n9kx1l7ljEva);DLuWs)+PD{g@mNCpR>IwN|h(? z<(-_EFwGXYdzRSmQJK%lhi|=Q#51^Cz5nX+3JWx&GqQ68Ly0bnl{h0UA8{4%se3qW zU)`spV5>D>KJgDh@Vx^+=+J``whe0e0W}E~%ct3=E4^_Xzrj6t zpNTF(MAu0^3zxEb1C#|@KfeoZ>70WHFycNz_2I`&A~=(0KQZ{^kY13fWO1J#$qza3 z-X1oC%2`Mb!Ie5XlfpH_<}1NkmXXeVE^SNHZZImkfR6cQ4c`4lNB6v3)5~#d4}2 zJ1;)6Skh+ti_&?S;KbY9!@r69@bF&ccH$OU?Tcz$a&c)ed6qJ;5;G}%y*EsFPxw?- z%)wlwn<=XHsim1%=izmLWa+Ia^I2-v&ve`Sscv*6M-)vz_89M8(sUb#o4DPS4&Pf9 zgR)6Rt<_%GB2ujw2p9UNSJiLR9sTHSv%6+a`GU_@7+Ra0iSI4K%8*x241!0k{c^@T zp3;&cmQFsy&%07)k0K%s1ltw~jH`p=ajZ|mI?jf@Up6|DRhrjh_;gIZImv5~$+XA0 zcO9xK@84uC1;UvIres5+vUxt8@%bJTv5A4Z4qaZ{BVUS?Q07-=v+)lYXWqIYQ6l^GUKA2;9b}Kb7-03_9xx3CCd>8TY14bBBKH9~ct=TIZB5I0JWX%b*{`>! zO*dnT!P99^bb_eRwaOE8KF;lYz8$OHwD;-rX51@cqrpsudXMZy2Rth$C2IT{$#qnv z!|n#Tz_TM~@Emr5w!P25C-ag;rdeY@a%Y?K-V&T^`$~Gkk+uW~J4ql+=f85!dS}#c zcviu>J9^NsJ9%Ur0L(u$nGidU>_u^G;}v2$CwfK=lm4_|-@eNQbS=TMjsRuZp2BR- z$@(Zj7uf`eQm4LMHwK=^%Ab&f>iuJ-2CMa%H+=Xg{iNyay?1T15mhp^6y^>y(dwq! zARh+mFt`db{*Dr-guToGqUFv+tNohJN&#AcwmN@E7=c2 zQsK=_#S}{bd*Sqmn-b*)&NMpyTUp__5?lO*ARnF=CMFfkF({G@e68qkwrK9HD;cP4 zy4n?AKZQoZP89&=d`>ZsVvPOLlR5H^Zr+p|&7v7t{1X1Ul;_n37D)J$qCc{~)Sw}a zcu6qJh!_w2R#L30fo^WM_r8M)kKOUT340EYg(PZ9IARkK1HC`UYDdX*EHlW_(IpiQ zZJZc!Z%_-@294?~sVYoKs_D?ebv9G2OqHk+Z)nsaG3xN;LiFH(a|G6&x8BD~EmC5) zMU&6IRY2@Cl3$`Y3*x96V3!)^G1b1_{%%VB&avs0hIHZDk1O1(8uYus7P32#Apw$T zxtweIo_qo@DH66N;NUt%Vzd?;JQo4^{OMF&*^5v8@=i8>%^ z#X^zNWtX;32Ee~!;~s|*x`WmAB9ZhrZmD)L*nN7}`@K?2MsIgUGO;@bmOlXCFwM`` zmQb_t6pYptug+x&1sI)$vl6vW#|)+l7d~$J4*u$bi1_FO12&CVO-3qU{N&5=xJag*0 zXeQ>#K0M}5ob_O^ZkH>hn6t#W@XDnorOKB!oV+ao;T%_n`+jfP74d-H_st*^8&Tp1 zfV;MfNNDX+0Tck^Fb0aB^0sb=tF<`@I}b*fW<5$~aI`&Q`IOXPv(-|EI#O)=3WqM- z6b(1{V)rpNG`yFC5)Bf3)%|9dMvrNVggnD>1z>&H&*^UKI7A;wE`Un7cRl0*Qr3qC zh52bMyk_<8ykDjp$Zj0ul$7nu#~1Bi9caN>g+#Ou9_-*SJ2|7#r;d^E^xW5;;~?nv zt{yQPr^NwD@dmPg?BC`Nr8Jobn#J+&)$v?V(xj~)qlI<)poVp-msIWU?z&_Y`ng}S zVZr&B2LIY_dE5lwsZUQ&FRuBu3j}FAddsA?;Rg;PyAUhk)h4$mlXo8@YP{QvvfUK# z+x`uVBaQKIPk?gEfWBlDjtcRWWMBxj2pA2pjQFl4CkA_mo>}{4Sf>Xl!=}vlSe;g(JU+Vf8pVAYz-rxIBmzP7A8#whjN*D zPut#JUS8fOBSoCCR)Vz7vCqB~fj#XmlQ^FrA1%@{>wB`P2PD$c^Y`Q=B@bBsT*hf3 zBgc|J>S_xQ4`+08bo8f$411c>kzixJQV6XjiEcpsOZPr2#cf)VgEf!#e4RHW{zDl< z*XgiCbqjZBq`jagVLIm!duT0A^qcCT+uV7WN`hW)D=8D zjwLKAwJre9n=K0AKP;5{Lvx7Vg&cX@U!RlyPM5>ZlCO}N8p(_em3~FEdQjE4YJRuX zy}0WM-v6;4934T5AEnn?YmE(vP*E=(;gR&L zh*&xtz^7ZVd8%eXkRj!$cL!uC+8w#*a5sXAdF^OAysA!l3z|;CUX5EdYyg`tQ_w)g z@~{~t^03EoZOAV$vH*KI--YfEfAqL?sDF!te6@A!I}`f(`Or%~NcObkJrriI{-f^) zS)G>Y3V>><=jFJ0bF8V=exw|(;uS5;JQ;L5+6_c!Bg|+=aJHV7yI(KIeoD_ckbBuE zEWuJ5)?_EYMy*GA;W|G}Q7rWxeOu0xB^Tlu-p$H)hy&%sz*LCQ-VtS1>TU@DNdbnd z=}&RYRa)Vbr%W@w8+|YP!$c+Je~SMZ1c22&|EMQ*d}aqJj*Nk6xc!7d|H1Sk8LEJ@DLL9-9RuW4Z$em$#4QQ+Z%8zIkXc5`#H zIN^2k;h(ZFY*iEpogsw?AU}x^;Nu7O3l0;FaafuI48C;Ro?Ov5cjr>Mq{>$^IM$My zw`e3UHN!hS#1`Zh;m94YcM}~E@_A1T%8icNgNhNtsb3&WvH2*SeClNQ@GK);-Q%wy zOBaTKHzOe~E=y@n^yAk3)|Y@<#E12uf>;B#G+{ZVkNnt7=g3VghC7 zO8K-y+bENYz2Nt+8=$)Q&2Jn}u#@5l(Z(-SgI{azX9#$GKBmfhqNVcCgcWyC7P)sN z56*0JlpioLt2$c&`;YjW-dnyYHSK^TG8^M7aQ9i2@9YlFs(|nu{MQz<5j2=5#objY>1a+T<)@Fvb zYNA|r9$ghBL4vd?P?h)|YDUr^yFBpO*M54BG)>Gvnd*w6rzo+6Dm-6cI-#~SyPJv2 zpg<^}o=XHB57q6g_zQ$M`!U6U^-R}Zi=adTnv#jDuR)osRP_c@PG=cOO#S=PtXUal z7?zYrPhCJxr^*Kqkz!0#QoNi_mgG?sd15`!@`bs?iOj2`1N9qUw<{+6S>heshg!76 z{LF@^`7iz+^B`K+=NBc)mkbg6-3R6~c<`?SXMg$Ba8*!pUR5c+R0>PPLI^}V^%Wls z6i~i=!leNvf-s%0*R>o04|HX3UQX}Bxhw(M`ZVbu@_?hI7SpDynu?~gcy9py0CtWf z)+yS7eI+AC!hAZ;T(XYra~Jy0+Jdh~8@@koNb&SVj^>X!@=km5zvemX3)?QX2ePF);IsfJuIH3x;uh^|hQK|;4m`c08k~?$l6laqA zjfh+Q6UT*GM|2h?Ind)UpRapNinrTVu}0T#rQlcvTv80nIy6Lw_An#3Evypohxzg{ z*+HLxI~yU$CpJk4f|C^Q!4{!W3N@y$o9V`z5d(F(Ze>O`mqI?S?tA?aMlIw)+D>G< z%b;x8Ta-AQo0J1A$&ai6z=IvCLRXqm;FVJUwYROjT|MLaz5cw5Zz!~KhY6-eu6Sga z%%+_5+$O>y<31{NtkEf9|7eW+7@?z(t?K|n&rAJ@lWGEsalr%s!!E3V@kG^r!olG3 z@82(cfM>yKMnFK&SVUd*rMj(s@X4?spmk!L#QgCBWA z?AL#OU>!*z7I;M!dKJ5PPzC&+Ww1cKfQKNYDScs6>Xza1pz#Lc3WO-fLWjs*uiMJS zgAO*@_)~V$7=)m(aPZFhNAm{L07$5$1bI7AnjMUow6?6}Zs6c7ha&!&Pf4kNu!4eu zfWUHTS!FV0ZI<~i2-0~RNG*#!NqaYUaApXmW>6|>kGyeoY##rV`T6r6+Iy#f!7EFe zGkB!sCXCpOym!&JZ2?@AHD^<#=KUx7_{2~r9SUvKgU8pVOc~x|7aW26yWx7zv6Se3~zSCqNy}lgft4y zJgzYk9RD6nmhqkU^h_L!%NJ4&9j@LOw`L*_8Ux*N@l#f+sv5so8`VfoUWGA- zny<);Vpi?bJp+ZsJ5-`T7Cgl#ZaTdKUe`Yqtt-CZPM9gFR1ie`_Il@ZCE53xX7UKH z=mU%8Vh#;+=o?gaPV}^{u@sf+HCX;yb*b(EYFxA(=%@-icGvQXZI8z77+_ZY(9dVuWy6j%bY+5{x!P9AW3o3{Ws_+1rG=S+>^tknk&O?jW?U6zjFQ*c|c3+2$JFP z_zGihlA2Sn=WA08S*}}$s1w6dEdA!dLT#ktc_Aov)8zTu+FLKKA)INNcGp4~QN&23 zvZWEA0Ex|SeM8;11;QHZi$Wf=R-@chjk>m9KeB7!+`tA2b~!Qgb)}4P-+DAe&MgtkI=}UM;xTwF@C#lD z(%vYsk&0PQlkJ9Mnxuh>3kus zcMWgOyygr?HL-4MRgv0&d^g|GhT-htODD$rPP)Fc7L>jhx3D+e-{L)TM zpIn$u{ictw)R8>Q0l?@p3GxZG2Bg2e3pTIICValCK8zW?NPXxnxEl*UGayIsAfQ2@ zxhJYp3zaBnG&~Bt9r%uar+~qTuVq8@PZr+$tnklSz#*UnLCfEl0&dj9G)o$Ng4Skh zY^TZ7B}Nw?0P0v&(qk|I9?&!LX!mOV{J6~7K!VrtyTloOABnbC=!@*Nu=GgKn+k5g zHWCWZ51V26qK^p$R9LXC;@HqA080nAA{jth?6VS{fSo-r5N+r~_z)>4q{h9{`A2sD zxv|CQ41Mos#9nt~B{thgsmdE2ZXJsdEeIM3K}f(CdJ&l#f{>6pG>+7(`vP{&4?~YK z;An`_i5_Wd)Sqs*Bosh@5YU3wi-I!oP+Ds?dSR5Js}8hqRvPX#yehPPb-?h>B#G2v zC)%yyCw7e^PjNGaqTA2Z*I9!6kl|d=5#%c0|JGdwpX~e!l%8JWklR}WteHsiECK$| zJbHC%TI3k1V>XL!@&gjy zl_9Ac7a71Hix;rNkP}r%{t8vp)>GMP8M#UwI}=R^x1GNw74T_yz>o!(7i4asI{qk#W60gaqEcg5QWT=VgMv zf#sRHM?gmb=eL>q`kL~BN=RWirZ>0QmM;yHjo8XLa(#gAXYIp5NDYBge?VDg8Wqib z-}g9&{W9oNVlJh{%AK|62ARAm^0gtSF;GryP|O^ToibCW=CdgE zG>`Bt%#gtfO)$j~Mv;g;#D1+*37MAZc6h#VCRXIl=Pat%h`)a09``qG`E@Q=y+444Be@!9J zyb~;`1s9^_X`b-&8`XeAM5BZp3RI#5eM4igcl^QC(fEXfE0WvU*Taywe14={kckBe zXZ-f74|vCWI4elRUZ3V|8`?4~tSU=8A(qaer%;VO_8PU;hR|gn7u3=D`#o0oeGq$; z-ktUK`W6{&2{Ls^H;=^n1Vt2*V23sF1sxPZjakqWWYwv)^vLK`wUT(4^K^$Eoh-){eaLq<>f%N2 zc7sB``rlWbEE!g!NM-HDTy*KA-h2Dg$EeqK0%PFhFX)UvRtdUqTVXIphASb@mj7DE?jY$%EfD$9Zk-tv3{F$)f$c1QZCK%*S!Bo96UWdCWzysu3VVBkOP z_5vZvY*q+Xoxl1|d771l`K7ctXMyz|&UX+X>GbDhp7k?!!e)L#OH1or$e0oXh_kfJ!td(IY+SVQx1PPR6e2~8;C;@n zon1Kr@g@L-WDbc;8r3vt$%MOj(E0*I7UxDf^*>kbiEzli`8 z9eP>mRWfklKd`dD=x;qZzRYAH}l;rp182OI$yTMP)>$=B%0_m9)&Nr+v4ccDIBfZW8gUPARwX(;femq1^ z!R4}pwi1Y8j8_oR`r>ZhVs*xhPW@&Vv_N3MGWu*5f|IrsmbD{(({@}Q&d>!z8JzZZ-@EOLGvm^R`FDt^&{l`m+q__ z%ADJU8XrqsJ?IhM>u*>A+Evm+kEY`fZRf*9_PMnzkcXwqh5Zfkzwob@pFFAfxt*FH zBx>{D4B&l)uQYaJ@CL{H|j2p*>0GuWWdpsR@x zbR-?Fm}e5~mN|yb@R1&7U_im!8Ri9ar1MvAk&bWla=lWyb2CzCZLEKbye=VYN5W_clXp8 zsr_rfKfMMq`wU&KxF#TKF@x5j+Nw8}(iCavMu!z?8EkBBo+H?Kk0JuEcJZXaFq4|Sqd|P+G(kTd=N(hk`eHD&$P*n z_EAX0G8oFUO(hm916AOAS&+jpWqtD48k$u@Gtv}rsh!oxHzE3w=^ zQOc|1kA+Q<2Y`68am!9N4&^7I(>j`KArH=>!qbq%>Fs^Exs9{(z46QWpn`VbD$$Ma zW=x|}F!}@(Er<4w;wp`QSXOq8?@MR+Upkx&2l=`-PdYU0p>~-Yx^T3gnvu@ry?SWI zq)}U^_D_0FU(GldW+iIeeX_;6my=)X?x>MNlUOLMN_ z>KCo*h%+X{AjqMJjo3eF?vj^fW^q_Mwqw~&llG*(Uy<6VEh@2}K z4$i?-LBG+GPb9ke(K9UH+ER+dP%}ke_s9)__3%`fRq;wI*36 znk$rO!Bo0hROSQX^D0PI;CxSDx!>u80EAM9LOvyAi8!1eO-T1;cx@{Y75j_dV@v^s7?n1e;33`T1zb4h_j%~Er_ zb!KK}7Lc!v1aMhNhPyyu63FOADuWw3&aBEOue5Yj&>40%f0}#n?;_A$khZNIG_+Kv zeqXJ%`H}o6VO2OfA6rqI(2)vIJ*T9~$=Q1P6scoxAdd<>VZByS*Nc04H9kh)UTIe{ zVe{Vmi!o18GYZ>KEJO-CEBjYVSYS+~F>}A>=B_J#`&COFrjC6C?DKD5Nx%o1<)?a+ z$!h?z_les0Qz+$?H+Xk0;~OAu>bcXM#X<)!YK-s!&#Qz@^EEa5&+!F2I6&Q3@-~8k z+h8t7`W|Vp$9;SF4mt<`3@eB)rfjOtC4a}FIdx*cI-E~>7Oc8gmvha{&2h$QK`)cp zglXgZxC#&?@}4SN--A13Bq-y0y-Yi*fuJ<;?|W1xFiTWFz;1iG$L&`lt6o~@{}_`X zCVbTNCkkOP`Aui;I;B@D3ck5T|1`jmSV98lMPtKikFhlL@}EPfC1@PiYJo(C+eJ4m zZ}2h;l6SjQrF+f!k0x?FO^^d^MF?m59{WF(`79=q#SIpW7^s65XBKpc_5Umb%<8ef!qR56+05PaXS2*RTH9SF+A8 zJI9VXva)m=cU*7>Ik1i8_*Bef;IGv9V<6K!ePm zk0w z*PpK_-97`;44+Y-5Izuojjaww7n8_87YpU8g=&6eD~TmeE^?T$Q`D|Bud(WF+d78i z0*^4{baz@4jM=2=v;lOQI(aW>w}9&FBY`Q%Tk-O{yW*v!k;kkZBKxM*l3EvsSyz$) z;R$R#v_qf_HiGeGlfXz|4`L|4vNEx?UEfI^qrr!(oq`)1L$3I1s!C~TdvU4bd@Kd` zf=Z4LTXAJ&cAjotu+9QR#MD(BrUQ3J9X(wqlJ#fGaS_Vpdax2 zdq2zwz$#sXkLik0mYCRs;FySfMljm5lm8xT?aQY2#k9PSWpC!2zwGOY zl7sEb1Dl!qq4Lj3!HSZani}RC>igb}#J6a1PM%_=Q+eZO)lj@N+Yl3AaD4h_oq!3F zRHD~l=x%mtDF;wSxq%q;5cd8Ps8&zFKYiZnzpshel*f(IJ_DKQ!`3Qfbab?ugEoF- zRrC#RzZ(^}s2_IfH?67vJO%Ta{Ux*4xegAfs*o-5I^yBP0`P+~nG|Vh25|X%_=fOR zw(#M6>^sfU+qTqwHu3|!V;kMLVh3PWT~mgRICs+XEXJ6plnxo*c6`)X<5N?OJQ`CO^?%9_d-~xREy(BIm?N;CFFS>V;96%G#M@TwNVm~io6g&sT zHMz7D59Gfmdf+(#BG%Rh?3ZK6P;CF|$7kT9s*PtYj*hy{fLo$(`w5XzGeYKkDZFT> z*$fEDym7r&ADuD`>hJ%LLFLN}v&$nT%9deT5=d>;@Qfl=c4G1vPSd(Hfo7#8F)i&y zHR5@zloH+1ZHQ|0)e(A)!rT`e`aU;{EY^o>vp8@LZ^pk(b~mrn$$!C6!e5A;XE?BA z@L4qXU0|O!CfvoR|1R}C_)63iDEQK?mm&hf064Q0@MYvWWXNd9^xbb4U%GGZmZ{h8 zt)ugs+m9fkD97e^3J$kmGyCwEw+9X}EIodFrwS6W-Fzd|J2T(6um_bRSb8*^H!QMj z*Gp*f7*0Z#5y>!06@(*+$!*)_6YKX(n^Z_q&S=?BE9u(MEzQF$igAG8NO z=w@Ext^aU%gf^$dFTd-k-_cD?d^b+JDUKca-bCk3uK4}Ab}9v)jJGi8&vXi9z?ccf zNSBj5az}sA!oh4AO}%-br0F7q86~eJL>5chPgPmkD})SOh!vv`#N0Hu)pz5tJ-yivIp0^`@DY2k%g%#4B%|OUAD_<;KL6~z-x9LffGU+8=rEzQ14go>;6lD zNXoOb{>}YoPM|Ca$uv!H10@5mCG{T{*n84QOD1JfL3NK?_?C{o*DY(Jv`} zCXh%BQd%unyv~m%|Mjy4h13#Vg3qdG=z~=(CP0)nB~?-~ZnzEU%R1|)Wrc<6WVqqe z{COwx$1$EkAyRBLeou4I@i6%HE6Z}f)mE85Q`)~-uD+X5TQB$?Bnq7_mU=-=c~!WK z(g1D71Pk4`Aj$FjN}@=Tr?t5QYUvO)#_*H5Zyz=;wlWF{P{78hMy#kUjE`36@CNj) zrGZ+$@1Ng2u*&#Q>7c53Fv<$(>*JthEYx=TiBVcaX=#i4cp!D>AnbhwbdV4n`(7VX zK3Am}M>z}#&xf(@daMX=9jO5bO-6gsE5@G~9 z9PIx}in3ndH-O*`MQ$kMeIpZ)Ku;H6%ETHNhjh#8zHK^c3F&c7?NwiFC1FU!f)FS6 z{~8}hgK$EjFyxN9baf=$^55B6_=aC+a!^l#-G{r%R48KR-`X&ys`q9U8@y}6l5TtfC15)GqdC6S%WwQ`e9igb%?*(I(mn}pxF@6Y$2 zUw^zG?@0G{@9VzKInQ-+@LH%x`47PZk$?o5&u1J?z8oniD9E3%OwyMnyB`zfyz%yMZ*0 z6m}zhKYpB2qkR12N&D8J?)8teKK?;Lu7ezGY$`%be?Mb6N&FNr`T6JMW7h| zr6#Dh#^3wQnvCZK`pB`ALVMkBP#kIc?2#EhD@vHCJ_8ZkK2blk|NE5P{%FFgY`yMv z4I93On0iXGL!V#&M6yGO??0YkcwH8Ca=lIZ48n2Aq}=|9i78QQuKdO4o*qMvw+g)- zG6wL8;b)`yu)G5+eGpMyv#M~U;d8^Jp~}mk9hxtoqb9_p1|JEbBAwZXPHYeJA4W$L z!4Ii3kZPx-4(6xkx3(%D7{5Xnp+y=R8}o#y{zWRYbuMMTsF>RcRi%u6etKYf`kpm5 zrhO(8Is0m)tqlj35*Gp4x@ zz>GvZjZAogJ2?kCuBH7u-sGB_JTx=9fw;?T$>WEmcD$xS+LmD!+plxx;(F?Vtjn?f zovHU^j1N>-OUn2{z94+gwc&|18NN3-ia=WZ2XZ&^`)_-$oqtLJ{W6x(%y z)9g-F!OixW#g81NC@m~N%-=3W16#kQ*P*p-`}0SNlJCzLw`dWzvWqSti5P@Wv*5*PRs89nx*Z3+luO zJwCMS@W-g|O8wdswSadoq1Ay$6IXBlqBi^TZ&?XcpoMLdcdTY!1@h|_=TIk8BJ5PAgBVt~Umt6Q`TP{T{C@Yu&NJeBo0-bU zG{QcnQ@@?IHJO*=)UVy~d$bxR023M8+b5~;J{{!d;826|NGi`c(rrNzwYKK9y|cq7 zDoPDErH*7;#qz?!f{MUtRk(qvsHva({o0Tn8z0X@jR|3X{&WHt=Iz0y#YI)PeTbtG zA|fJi#p3Y=Z{7&W;e!W6Bqb?u$gu83!d+e!>4{-jeE06k8}#GNP2XECE`rLEY&7`= z1tc-NuL}!Fr&6E(%gNz}$8TTXOD;{z$cWF+&%fXQYn5})95Xd#zrDNr0l$@(pZ}}X z9w$ZP@9)pcNyk%b>FRnxRzCMw1q&BFBh`aT?%29I{%6`yUTL62clT01P=kNP=Ql$m zBk}M6@ZmH!Hy5CtBpDJB7uPT}>~ZR&BEJu%T;0cKLn}W`Tj2ZfaJorZULKLCWjS29 ziwvYrV63{hTykji*wYpk3k!?ZKcUSTiI=TX3hTviJ%9&Sp1tbDNlt)T z=BvdTZ5d)iz-#|p00mk7TY@^*EEr;`g^O}8#D<3gw9$;gU|yQ;+|jnM7&@vE%l)+V z&(3-=vg5*d`d{}Z!?p?~a6a`P;aWlZ<{uW9ejr^Za- z^U}Fc8&#l_5IC(9`q?pzSuRN(ili9J*|{QL1z6y&nm$)p(!0EKd%I9Rui%+B>Cd}t zNg0gqGDIwJvm2bL!+qGJEw0jO7%Vx!`R263gPt z&G9j z*6WVH_nw*RR$eqPgY&vq;n1p-1qBUqEBip{n(PEi;LJc6u+va+mZoFkol{4g@!yMD zF@S;*CPqO@V%8_0xcgxzc%0Kei0enS}ey=yARR8a1Z(IDZ=ezV6u#{hMsXw};DZ`oL~>)$}sa zQz+t+Rbn>)vduNK*ugJ0pwUX?uk-5bLt>-veK>XDVQRl*wb*iU*DQT0`tSI5NJEA4 z?X3C7fK+;x`nmSza3TI!q`TCElrI;J4l3#$l3BetAY+BCtzFT7b;Hi?l`C6k08NLA zNvbm7Nh25Mm8SapYpw=(!RLysXxqI*3i1UaLAQJKV%|M#*WbfqnQ>abhQ_}+vcK@Z zQ;Enz#8e`T8I#@`Cy3F|##ebBN;GX92R1cXwwLh;Fwn&LeCfXMt9HPUM8%B8pZk1w zXX|u=s)4}WjZdtwG#73!r{G|=c1MO9v1CeWyeu8Gul(Fmr}(;!SD|NngU;F>trnwh zolb_C1MXM0IHFd&)JOP`{ct7H`>GIlpTz zt5^q4gB^5mN+P{T!pQ3UGwwZ42cgV6*}VHCMU&|ALwM0?QY!|6DX7J8ku!UTq8&f~ z6aXGzRhTp~IH*4-yT)ac?gvD31bop!m+M3detc?n>!9M1X2Cj$Gjmb^=>se``7pf2 z2quFI(5EY5 zml71?<13S8K2ueXRd3`znj|3`Rg@))XjT-G5bmhiD)^j6L8MMr z!%BQ?hERL`>F?c+y2vDB+qT^Gzh?c2#Ovo4mX=~rR?>k}kOc(?4v2RK4MxJy6z@DB z(>FPp_}b360?K1KS5g^@gHSf#mAT{b`1{rBo&3)zVMuUd>lA`>lUd$xYi}Q^f;80L z`bntt?NxR%Fsu=J0lu1~^fPNpIhLi9f4}tken^1JkBKVp?OVTzE#L`+L`CI!;TC)h zbJ3A|T3VW*Vp6<%+3VN5KU`+q;3_0-ZfXkG$MpA`{&_cY#R9&AAR{9ysP(tgg89#> z4=w~XDYma~h9)Oz4F)c`1I-of@`DkXY6>%|<7Ww>pw~#?h2S4|I3yw}suzki!vc_C zV`Br?^`}{FR8jm!a?xd%FH8jNHW-A%(2FPI81`VAagTEvJ{yS|FkC?wXUXl9cKm*W4vfYc0;vj`Rm+s`mc z9LXUnk(1yP68VJL32 z90&z(1#X9IZGpd}s)(ZP!^N()$j^MS)uj}(I(Hdj^Egs~I6@hZiBO2$G(ho?1_ zP1)KWl8o7x$z~f9Q)(a{Bg|AIlOCU$H@4j>;@u`0>2ucW{W0?Q?M0P4tglCl zm||~6NfPhdGAw|(56nC|%(=bs(1EqBZE?}X6P2;b`}+DCTU*PX(>fD4Npiu;4~`5M z7njsZC`q_3w>R{51n@;$R9^lp^l=cw5QTl8$~o9k1c1n9UM=)PnkZ&w=ESl&Hh06L z`y_qmVqce)QRh9KW8jbF6&3Y}O*h*DK;YXqjn6&P2FjATy%}Gl1EH1CvM9^XCyr$R z7=b6%5}*dBKH{f?{Q(iSn4X@Vg#S&HY;ihXGKodZ$zAl?WzZ)AU-!cMl@9J=;9iNw z%5JPPDlI+z_8=U&ZiR#ULtlD(0lMf3ZiXTE@8Mzc8y1hfn7xDWS@)goZQeWaP5;UA zIJqZU-$*Rlo}(m&HXK?#r|ZEoV)UUf_mrT~R5^G*FmE6blCA%0yt3wBa(0P>z9n}c z;3#LiKKF0}zlr#lJF<-FlkudBIw|DwE8kcsNT$my4#{oi^DzWkwS~%Bq6t{X~TKUwb|OVCQS6YIAQ%@F+!{7P#A`85!_j==;z6 zy&k~AD4<+x>0YSWU}s}gYVw&!vA-fEx$)+ z|0M&zRKRoEBMC?WKzY!TnTZaB$hG1&f;m4*oW~QKJDWd!AW+JGzJ1K*-h~K4&Z243 z>{N=&!E>0{Tx_Z!GlZRh?aQ8i^beX@?G*om6hS@B*jA6M-3!TGW}GzS@u&D$uUxsZ zTaqMitSg;CsVt@Rbs|BEW-Fm$nSr$3IqP=7-}m46@DnDw%3mkG&dt&%d=*@$PoS6H z`{Q&Tfx2XTm-cBZ<-$G61y4jMH|g(El^-Kf{T@W?;jdlgzK^>#*BDahp70n4zS?oE z!keVw=BuVy`20Y% zc$Gc75v)0J))_?@tT-mEa?7i#7~rmU&dGRtD)4=6=Z%i?@^TYojaUJEBQVxxGXm~Y z)(rai8v<)=#n3B0b;|VcyuV`v1Bh0`-BW;-9V>PDgv2??c#l^7^gN8X4f$zx8w&U8 zAU;Z4U{j=MkcpH}%VLN?^h(O|d>6sVhLtuw)j)D+Vt{75r~C8ZB~fX*t!*aKq@;HH$HzjI-pK-oTSOL+Vsq zjN+nZ5wnK%TH2=4<}oOF^Qt3T&aZ|ou0Cg079x18%FyV*l3vBRRI`7suL9El=AQiP3jI<8 zuT~GmT`pV=grPhDpL)QzSMot0k?=SNqN1W_U;@<7m4D=vS?1(PqhM!aBbSX@V|UHY zgDvi1@eu_ATxHs}`H}s#A+kH=g6Vlv2d=y|EDy1WgIsdr5|T}(Wh1+;T!f1}!#F9E z1KIw(jb?cGOPALd-n9>&+20!{MWY=zerY(`us~=aue14i`Uh$=reFlo=pl5PdSz>C zzaz+3mj>(`jUX!G&zJ=^zU$2zH&;RbPPChFVC!s0>6}g(OJo%?+m3O}rbB&bbJXIy zP5J{#j8P~$y{m$So9aPZp?Pk4Kl(w52cufb$nsrxmur<9wS%c&e)m*U6wFOz!0zUq zo2yh)T58m&y*T*F*%!4<_L4UPlh^O}=_*RP(hgwVbd%SQP>%wYeV~yw7XMbU7OCI? zg&PQ$UV^Bmuk>ke1uqrtLkGN!QPHSFfzxVPa)fx3E~5 zYz(>{=>^c;r63?ML>B{ot;V<{Sz3*>oZBx&me!BUQW6SxNB-P(%0fIb+yVSoud=F+ zd*oKkVfjh>vDuvXjoRI{N%>;SB@W*}#)}uaTLyP>&vWRHSk6 z-Ic1yOGzxY97GGV}5w3y&q@Op|IwB>C(cTi_=I*}47i(K8 zL!WO-C>y;;tp zDw0WY@?ujN_0L&IB9A4hJNLQKbD3;31 zx4)|&=0!ClBcn^CHZ@y+rBN<`neyTwPuJ z@4`F(Ya_UGISoD6v`*+Vd?67H-5~JHMNU;Xar}h+Bw_Y_iHl9olk4XsfloApf?_Gx zWvcu3%^Ygl>ax%Sux{XG3=WC9d>AyUubZSw*o`PR`p$jvp;hjoI=FM0#@Y05S!JcS zsVt{`3gNRp5GEIqqZpJAQG5oue!Q8*FTT~3-^x1A7WZQ>)|sD@7@_dA0>#!@2ye$J z@C*hO_WD%er*f1a{YR%5WmuScEE>RJ%5bHgRT_K^g!yV!>e|M(-r#$)D{!LXmPHs$Y0cvg` z6cMZ#&Jr-IHYpmQ7hHf%9C~@hQ@4Qv3;+UW)FMA`H91%eQJDJHXh{XFO&C>+g`LNK z$S;5%#}9Df!yLMP?QYfeJHR%2%yuTaFL@m9u0F!tsJp!_BrmrBqIw$;rvV&QjQ_;t zM)$WVWUGgf)ajYmj@pTfhew$i>LK8!4x*H5(DPJQg3onBzhS)2n+GfW^Y?4&m>B?w zrvbtaz8J9T`pyw`M#Vl`lACPoH^bl19kpu=zgT;(i$ z=SPhOL0%V1v;UCOU+wcGd|7?~pLlss`~TY$xP{n3*x-p1CQ*``+)oz}Y;+8I(QWL9 z=i}`uw)qgGe=JCHULX)=T%AjodwJ6xt7kr*d76St@9xpr3swLn2!LadY4LRBpS!D4hZGwpXKcREpM!A6m5Ehzpt!=7%3gB!Zv6>X zfIJ*$ce4BK&i0~gG~6}m7X)30`v^CS0yj@l(b&QIEXpTPsi{El@y*T9qIn<-6lG*Ry$M3Ci(e&C#ZbsNTBs_Ax-TEk%rpH4xp_WFuok~ zioak5-6dYO;yNKi4{6%PnJ#AITO{;&+Qhe^AODAHF3BqI1f`y&I+^Db1KlrHS61{xOWESs=OS?CWD#P?Y;SY_O%!05 zjk-$=M|5|fHWyh9P35MiTly&4l}W2(|5U@kAzLz!ClI{G#>RI)BRRYB$4W3ryp?!Vg?Vt_rc_Nw&+u#xor@#O73%@yhhUO_kn@?KU0T&k5fe!O7nK0 z34o?AEv&0Xsiwb|UkCvqwi){6!JlV`{!w z1=kZ`i=OxGpO1y4z!wV*E&L3W<$630AZ=F2!LR?6rAzIXXN$9W139A`!RQF3>4?QH z9vqn2Y}+K+9qN6H4h4M0oH~hm@4WuaQs#o}%8gd6BW}D$6I>Q8+)8}@gqSj% zW;8;&3h!#^7Pj`O?e}<;aO=Bcnzg@tReP4NPZ*6Q=sERLehzqgdUjJdwyzy6W7Y44 znT3XjDRAp&N)d_S^7%2Y{xC@EnvKQF#YwMlx|SdYqk$JsICbWH6g~tPFGnHFbag=* z$Gasr5Wm37=ieb`SJvEoRE3iB$VAWm9*ggAs>Naj6Dg-8Ofwovf4S+T$P`-!2JU9! zcbxvKt#gm$k*g@hmaYK;Z05dGxV^75T$}x8aXZXe0b|Y&mmc$2$Wc=IbE8!^GSk1U z^2mIln}8(1XA;mh{@R)q%c#Yd^rc8blK`z&o&^$lt=LjS<5MKI^IByG#wB6kMDg^a z1Y`%`4bV?s{rX=-t<*1$1quG|0Y}}z3R4$!QmzXhnf=`-1ZAC3^QyW>m{-=h7=2p+ zN<(z{h~BbEzgcZvCiJ)ta)`sJi?+`X$nfEOJ%LJ#W_m1-&McM_Da5>c_YNW*)AEIC zfWi{R)f5bO%<;!@An+8ik1StacDc$hesiwKkEm?nrOc_Bt@6Fu8<=|sA0nK2hc`CF zqsC|%h>B79qZKi0zrPlTX5&^x78Y~-yY%LQqxn&Ci%DH_mvhH9u0yFfmzwA5uc4vQ zpJ}l7Fs(RvRT_l~+*vfooNK&v5Z_1T`bHo(=RyuTt$TKbi9+6598XZ)r@W;9*fYtE zqqg<3`m+Z5mLMb#zNY39fEc>Ci`Jd}aQJb-_DPoB$hmtuDJe)rcHe$3bpToa4*WGM z@hH;b=M=_lyOCbl#iWT^k)PsIF1CDG ziUaix4{+*=m5|(N2(R+x7+wW1fDuD9!>fwrpyJ-EG_#R5>8>FB;cBxWtTrGmQ2psjqP2v)c%u;)FN=izC{U>w&52tPzjGQLrE3icK1cFoJwa+Hy9iY4?N{>E+f);P0NbU;b{V z*+-BXgE^xJl?7!E;*9?_@luL|5R{t$X(eh-7pB^`xDTOkHBEw9=?A>l@-=VYDytKu zpvvxUZfqu}6rO3@76|Fq*$v(%P(kB4INrS6VN}2_F zLy3oybd8RbxQ>E2`YdSKPk|p8`d)eG>QNg|@!uH0kN+NRu>4d-0@a6@7h*b$b?F5p zl_A5OrgsZMJ0Q6k6%?dmtZQS!VJ#3X*Wte3pf?zh!lv zo?xgt! z7p^|($AZvN3k3xc4Wlf7t!eYP){U}{NerX8EGs*H|4C%RIemRZ5Z|NEdJ%awvG3pc zB6?!U>-?nn#8Th!=eIV=f#IL2H=^Q<;14mP|=D(jjcnZkXP_hX~}NAc=>vk7Ue-9Dr+6Uk|BbBLl68L zz&&dLz%)(t`m`DnB=bllaw@13Kq`gUr$iPO4(=#ah|BMl4On!$d0Oon(7)AK?9S@; zhW^)=SCcb~7o`pSUZK(J!jCsW*G_;c|!8NQZw5k(r8NS142lWmXmAoQ|6#kNz_5we=M@G=#<(KKQE>LLwV5Hm(wU z)_6m)?cTW=c}BK>SHCxMQl4~OdXKB12;ah3^|nSucVXPuj?YAW2!*6K{R)P%+)&Dk zXX0wor#ku`Q2VfT=a^Ep#ka!sLa(qqz~L=BbDTX+az8X7_D zWh(MpehkD_%Nb~98ggkEL@v_M&_vahZI!?U)MecWIvdd8(__*?y$d~xEdLk| z0Z$QM_^Cz=Mxd@iU-WAp3Ap-}9kJIeK3%6K53cY%pncpvW&;{+o%k)qM~SGBKUg0QGeZ{gS1FcdZj#JndWmd~7~N z^kmC@qUi`vGj#`KJszld6Jc+jbfAlbx8O7y+t|Dq8XLQYwkzxSc6ItIk>h!TtkiJ3 zh@ByQARm4>#HT)>X7#mlh`7A9iIa2{qrAKI?W!L>+pi~ccCnB%S0|$$HUQY*8v z+2{MGFhfB}h-OBZ{JrdsqI+`?YANhwHM5PDbl-U?jLFS8>Qw1Eml({OesW1SN|r;3 z+fqSC)wAsk3(KrZ3Cm3SMlZ1R6uft0C#n^5{Nhb?;mzw=FPyp|TU%?}(*3n6Jl-fttG0NxJ^lh+?m5W;{0i-wLasVprwHyJ9`Z~UiOA1HF|G6t!m%+&+fY^M18eL&g^ z2?@yxU^X{53x94;zb0^`!*nlt3+L*}9{XhviK$swY>z57L5l}vDR8$1QSK=95SUmK zB;vaZtB&4CzYOhUpjTjIq!QGl=fHNUzO=L5aI6LH_afje+8_=nC@lQ_#*OPsh~Vi{ zkOIa5Hn3;`9WID8^fMh#Vg=Z{m}FF!G7qd_&;^WxU5p97wrP{z9Bmp3Sxy7&ag4%6 z*E*!m`rEY@!9s_P)D9g&1KGP(BFo6oO+>)A+_M6w&=zI84^&6RkhN|#0y?n4=OUol zC%sc4@m(gb4o||0z0KBBsNead-Dx8|&C8}nm3N`KJWcOi!;jeOs zlP|b1^NQY(Y)TQF)9MdXc|_IY$sT4>Clcp!D$9=@k-CdrO(scnfc)yKGDPYMV`48W zm7$P0_z=wQZcxLniBc!FnDL|;S+9o-o8?Yy%E_N@-k7XhoSl_cO!|Dkh2?!VLXYha zZ`28C)iXp;1qq#{K**UYmIaDGlu?NYQ&L%4OM?Z6kS@b`IILUD8a604&0I0uNkl$I z_i&$6Z!_ZiGE;Va6S+$DP;WL0@eQ#gim<@k^f67exSn*oT>LN}o6xBh)uv;~D3?z5 zjrP}Zs{++G2A_F$Zy=x`BqWA6iXlc@fVgu<^XDM~ak8y*UDccoKGMKB0otl!8_~~Y zbnT90y`3Ks2%)-foh~RScxpT4iN|s!`vD2>@u0&=s`GB!n$hYo$UK~zcKI4zYpwMB zL$V#{F@1d|g##$kJL~TX$PgWY-gBiOH02X`u9E=222OicMtm8%aAb_^!C!XYyS0~N zC6bn9jN3>z)WUJ`Nj*y+xxeTpmpgJD>E*px{ry}l)3z*6sb!vrHYK|sZE|tCAI}Ho z1F09oZ@653x}CDTW^Vr^Xy;6jhv4(>i^!gTk7_5L(f1slCMANnEZV%e>q<=hr!^RR z756xSerL{p6Y-r@!Zs76BUz48*5#TbSxn(1fCLr8OMjYL5E5Vv7u-JH`&Wp>r zxzgsXrVPz&iwf`EE6(MR#9}%iqYlPLZ$NZ75CnhZ88CE+=%R$Xe2O0D50;@t?u@_t z)~tdUh2#N+a-lEhI;{!c1IZ~zTwe<;J!!5w$I=RbLyt>B8d%uRJ-+!!=&>MY*U+h$ zs%+EHA?N|uC*FCEw>L5I@Z_R=3duvIiP91K(iHz3x&C~3`3;s}1hl=0Sd%O#LsQ;m zkBa8M{Qd0Nv-emiNpAyDTC%e|5)_(zxZm^wGS;%Z7k<3^v1QoxV*Ot}^YGM>x@c|0 z?_Nvp(491uMR{@c@ZP%UzWAu0twfi3NJW$psm;`%Td21Gk!mZEX05hI7#XuQ#66L0 zp^S5K^!I0i(NLimpGfK2TCogj;~7~x&MrPqI{3;ceO1*K8(H=Cu1iJza}xi;HRY4EZ_s-+N9R(iVgHgo@Gq`lb?XbTe3 zN7}NragEk8%;M`I^@&QA>02Sp{k~@-9@IANb(+`qFUr6p#Ue}1-LqMoSDxbMF5l+D zJnF$k(7yT<-(5Sgm*;2y(SAa1Foa7~ybmii7hA{L4~g)x^gh!q5sk3oM#dq1ZXm$U zp%$Eb)7*=4ARR79nSJj7ky~$2W3S?z6dbc|%k^$C_k5@;VDG;_jqoyVv9c>=O9P=M4EvzI|DnGolus(HaqLA z9*j8GCXD!I!|f~Wj8Q(lF?0KNdCQ^<$=!;hsDQ6u5#|(a(WY7SBtz8Zwy-Nk9c0CD zbO1eiUYR5|%J;#kQ8u%YHBgA@Ljt=r$lZZ_dSYT_Qmk$f6ja+_cl41=Ri(L1dFMJ3 z=#F;n=$w`K0%jkq#+Lh|z@fj3ZoslS`TK7_Mf%539e80*TS?xjv`n0w4fFSx;kmNn zcKJQBDg>L|GX5MD!1_i%QV8ZsWvrDR|?j?qMYhEo^NSN-eQv@Ez>=rAsqzF9Hvx>MR2Sc4Cn|mpM26+5fn6 z{n$h_*GmQ#&B3@@x%~Gp)?MF+B5SPs&=4$cnf-C+3XbiNnJ*Tcg+8`Q#INh?UtEZl8B_Km`6JZj zad)fe&eP&Ffx7O36Olq&K>+{9JsMD(YZ@!pIxmWX626{5S373Y1( z%|jhJ=5Op~o<)2;-=<9Yhrw^|-gxDXRoH~l!&f{VQBNrUFwvJ&{IMere~wTl`$I`~ zZ6(B;(z4S2NKlpG_$5AMq2c&`Qc}{r(60Uc{n6i|74n|LE8i?0am8{@^nTrNh&VW+ z@R*RDD&lCo6aoq;-yGc$!|ByXBj)W#BEb|ZkH3dUEAmf`zMW+Gajnr# zTaSTsMf5xMp?2-=`m}OIe}J7G*L4%IH*;c8mOv4&b?ud=gTr(za%w9}A7{e=18($E zDT_T2T3-dyDw<&=_>Il}XBY3BX90czV_f&iZ~#|xbMtI%HhOH$YLY@zm0-ssR={tKUjY|tg3nogTA-TSnqAXJpg8~f44hK1ev zb?Uss{n_{Ih}-S`Vccy4yLrf@*`-ZMH?9*eUWTW>^|q4EEA+8XZDJW1)HZX%fV8HL zaePpLaHfKRvWGWTwzX`pA^Bi`Uq88k`PcEpH4iL?*CTrQl(9k7TS}>yL|2J|a}Xtr zuj7am5yys6y=RuY58ef|wGdbOkr2%jFU&;UXrar#b#}L;l8oDdqN#>u-<#O>3xc+- zQO=Pb5zb}ZR=#VeO!7w^QFn>gAgYex^)n*HWx7Zot}7nV(x?7REylgCv};U%Dd#ue z2uUoPH*C_C#VCpU7yV0MjfcGXoFPx{RenplhVNGz&m~G5O_2-aP>5I&3$7z{dq_-g ztm>{N6L(S?H**Ndu?pD}CH&)JJAOM9V}O2#e?=kktux}@vAm2~+b32BQk(RxyfOY9 z_n(IEg%B_WKvZliRBE7`D7b*+V0_On1jeV+v)ub|4g+MFq^Uq~xN}pDaI(5RB z(q)G`_)%6gcA4}&asBwfkgt+tUHTb`2mxe%*`@j$qA&Eh{lxpy8dryYt)h3%plDg& z#3;Q;KWxgD+9-8TOi0j23kLhH(idHpkujIL5OE1(E^@ilQY1|cG&O;16OTX?sghE7 z=F-PWKJH|1oA-BrO7Z<}ew_am@`mpNt3>el7vR#xad5#CBe?jhF0r3+-L9iMO zVh1+#Km*h#lX$7KW$pJp$jy5tuG0?|4AqgH>5H9#)G<{l3?Crc2L$I159ZiH>wr?h zPLJb>1ei7IsSv>9V+VX(AzH)=p8b;AvY-8D5Y@ER98CAsXX!1 z&6gAFKf1bHLpfRL8|K=2PVi=&e;DcZrAX|nf*cH^j%e=wDqV6ss8IrP-sJW0)Oza9 zr02=uDRSAP(t97v$?o@#Dc?&cX;?WSX;b9xJi>-=m`0(0T-r95D zxiqUdsFBJ9HPj>6X84lTA-tNp?Fb-31-p@F-)^_^Jo%Il6lBdWrHJtGPIXe;evcCU zEqg=^HAc;+T_fruO<@j^X)p0#rDbc!=m6X0>fMr@>d3?UPs*J)^%>IdJN5fad3Ftq zjErRLnKG(vMnn%R$^iP+`Ct~jyCCy+IypWHF?xRKQQhDvLGeNh6O(6q>4pRiM?u9L z-BExC&<&a%ALka(8+A!v}BS;E)V-y}Ely$A{$=smS%_ix!xO z3UkW3;kGsvRdL-#Cn%)^7lWGk1@p%5cz9ZXP<6TaaK<+@Tm!VtIXF1@3t<513o$4L z-Rh;%;p3yjlK*rA@CPU2)C)sJ31yskdEIe4#(@z}fWx z);+TE{Wckpad7kS2!ll6PKm~qOCEyG93bZ1j7Sl%gLMFYiQd+&kr5o0ZXqO5=XHgd zG~^UH=_+YSi9Iw-?pQGabL+7jHc^>|oAY{n{ahk6t@L0&uzr?b()RfF0tSr^{dD=C zc@Exb*~=wiwKz4V&K)flwaRc-U9x-eh-VE!IOY#f*Zsd{x>wUCS zwiz`{V7W;MFw7aIg!O!qfa?U={Z&#@if{Kh(kyD5mbFs4Oy=dBjz40*`6>O{QMPDU z)N8JoV-18!SnB}mZwu>bZD9n@EtQ_#-`=r1?<069{`GTHhm{lQy-gu@HJbr@)qOwT zyGpgKT*9e2pz4DQ{-j7w8s(*)*CMIIrRB_@d=& z@Zeo23v+X(L7>%pJ?d%G;rrnA;(j*_PBH+BHUIiU^B8h8k}o2wSP@J-lcnZM8+WdF zEHu#N;62!!>tNj_YsVM)6KyHBCXwgezuBD2u6T~gjP>_lf`zNN$a+uZ!ymnL^Nc^@ zV7DVV-){{yZIH&FuSV91$E8^vn`oG1t*q}!b~Lz7ePAO1C~VG>?U#;E75NLlMfaK6 zjf7cPtXf#?Ac~k$P2p@Vg43t+{We@oboXc7Uz4!;p70{3=b~_OGiAFHUDEdUWKA8H zw@n*IZ#?2k&*@$K8Fi0%-+N@#1i|BfL}Q)2&Peqy6VXWdM~GP+w=q4PZ@fTd|_Xpotf4zeX@I_`Z<(tj#_!@+^xgsE?2*FxGMrG@?D>! ze#qT>4is*Jt$;*cD?p?b`m$nj4aCP>Vgu|8#8b4iR+|vicz*%6jnU7go#5H z9KRQxJ877Hgmh`?mDSYvPF&7Lr|)ruf{mA5Li|F$^Cj^v26}U3_ zpq;@&Ge}!-EaQB0p7p z@Ua)yF!>JZqE2l|VPRDZ3uf7}1?$L@M~09Ec8P)V-z)rq3&2RGXuNN|_@nDLVR?|U zI9NP_8R=9(yi5%%`A)?!{0@PTok(X8H%$8`qrgv?B7m|7Me#VuxFpsW7Gz(VhVmhX zq-ZE(jKO_a4l0xv;1x_Y)xB(R=r6|N=i7D`)_OX!51;tj*THl_@!jq0U?pg9j-YWp zN~k6ZQ!R3WO@AQY^!DY9x0TqtCC0LB-5eUg=zOQ;=#u0$vR_al%MjULeJm@QDwekd zbh1P#KZL^?(l0)oWV{zUmHZ|HMhxV?v~_jidAmd@j>zRl{(bUBoOwrmgF0I^s*X7L z0ZC7+ivm4yCW)hbwZdYhuf+XqqH`q2Ppl6X9EasR9bDrp#t$i@rWt2K5mav<4;+!V zDI!=HU#Aj{w-Eg8R%bj?6>fhqaHhb6XjMDkn-jfXh+X>P=`vwvjhg?P5 z_PUGQx+oA4y>+osGGh9KGgLbG-P-);19c>i4o@zzwde5QyB`;Flcal3*cg<^Mz^>e z_f^YYAzh@6eb|lt&$m5@8fo!j|5cDo6mS($#EQ`HCaaMonp7t0H;P89zhBqkj?3vd zoZ3?|*1aerL;7RjuWsh+t{{QaL`6&#bew=QfWn5?(!wrQakWD&kot;F3Ih%Kvzjo5 zFzj_JCG3_o;`&O?3JBAjH>W3&{5iW$-ZJ7acoZ{NA9}I&Q~glP75p!MKqT!$)rFJE zGQq}8KQ0Uj1zo}bpwwCxxOxe10r91!4Mhu#c{B%c&*iUkzOzbD7&;+HLw0TIu7^&b zUS&aPnqkRB+t>EdH=rHGTvkw^v9L(C#?_y^@>m#(ISMf-Bz$ej{YhXGHapDu)~#C! z>AaM|V63b59KVwN#&dWAR#kZmJ>GQINrBU}T#y=>%dq(f=1y3q`{>inlU{i`MAHaha-NkEHZ{LzV|cF%70t-5$s;PLNr@q0 zN>?ta43-c7vj&+Ltnb!fcV--r0UD&75F%OY)|Vfslj2S^Aw(Giq$oe=MrU8R=Hvk| zUIsalR}G}~gzfT$zR1D7WEu)9lc$^EM~dKbLNbtoZ3KAVR#wa7gBt=m4WI^xuk-@I zygpjv@}wG45bmg}cbKIJL_D6AePAjAnE3zze{>bZhcFsFnO#*?W|AB~$x)BmyENlO z{4d8EgY&9NuJ`k3i#quk6(sdKnJAe-h4hbT=yz#4#1O*r$Lzh2L?d_GBxPr;zHYux zzW+9~@#I6JPMh;ob^NJDAYGmV$q^ze-3sMpsEfIzod!c zLoWQ?-GG5Nv0S9LWpSe*n_6F%J}rzNr^(iu^j(O z%Slq^CVDNs>H!H@C$sipVxkaPNZ7v*w^oj}kI zh%g<@3`5!GDX@@HW%ftFUQ-o-m1=(6Q5ElMJPJj12a+1{iT8P70`ku!YAT>W~c_-=BLm)QiVtCCz zppTVOadUA9+pk*+w`}HNsmmhB9aHbhhEw%!1sMJ{0R)rGvAx*frBIPSK){!(MXK?l zjc3&C-*!pP$$HV2Gwd6HUyHu|Z<6&=cyYqTy(Y5|rS%b9*picY;FV1Uo*HZ@bfNn= zK-8o?r=F5uBT6S0I^TAleB$Q1d@uL&tKWsct3?@&cAZeS2{LIj@P3Y>GrH%0A+~vJ z?U6l-xX!n&wBll=D4`?pg`U_owZG2w?GME~<2RZNvEyLlb-wDcyRpGe|Muzt71g=j z^l5MJo@1XbN9LFO|1vADyECt}!;8_zrVha+Dc!e@gTYCz6cpB*qv*V;2-~Fyc?4j1 zh1Y)`4>I()IC6sDm4@bZrDQJVd9S!&V9tAa(#bxct-|aSTet`!@l#l}z^RSC@6fm_ ziirnRG}rChdwPn?7>T>u*|q3f)7(W+4UoWRtrdepbhXV zZaz8L+of(6W6kp=XaL)(=68%NJpBD@XD<7*+$}Dc6Qks$7T;5gca}BM!s$GKEM%Z} zuNxWlvSA+iu1;$HwYSRk*DCw6<}p2s?ts+i%xwS4;admmz(SH?(Pd>@cnfWuO`C9` z;Ha?N8B|E+&%W=zlIZM(awHc041aJEXTiTfh%YH`0gUBu^DNz`tjiuBVWJO{V*3Yq zF0dT5u3|YCWK%`ZK|Sc1-{$r9^i-VvB3kMHH??D`j-l;aEJJ$|w@quv*1T9$01PY* zwh}>h*IuUg6SD&~5d(hSi zo8Kp3NfWGITeEvC}GOS$EWl( z{rb7`&Z{0@hlImkJBEFj412Ud%Ar2ee7|sxbdZ<+CB4Dg`n{BfU$izq^lX0ketf(V zD!utZ?G}*>!VlzG7KTIAJgzhjKG4M5b_(CKD`G zL~;)27(I6=$11SXJd!SHH^AN$!GWKeCEP7=(GU~nmW%5+W=0Sj_lpf&*=@&)<}PYW znbvY%Hb!H#zJo%-`!G4iI9Z^6I^o`U#J2j%v+SEs7;8%>bLWA6dY^JfC+AkjnYMJm zVcm@$B1NHlPbhIPahIWlJM?foVjq^_1$P2&yVOG505>E`w%D<@*ql#;)0MmnU|anM z=q!ca>IlV+0jjv-#iysemxJjGbrHx#X5xZs+Tj~UiZcz-xhA_dfjLC39NevUAI(k# zR7IyJ`-z;R>#>@e!a52OrI7!+d&0fW8F3GX=9>4OAHevq+T#e{sjoWwel{5FBX~lJjt(6g*9eKG>7g5#QTcd< z)JWnz{dkVGCXwW^^h#p-LeJ)pW)6+i7+U`@Gh11`KT1sJH`A@!Op5gvSxvG&KD6yT zADz)tm%+Z)hiUUMgLZ!0!NMhK*q!wut(0-+im7i48ftH6>@!VDUiV8Sw2$%deiLi2 zdSG(=o1s{2F5~c$BtVbo zv#UAA-{w-Hx18-c3v{9ejRTM|*XF!enfIP~aQ|hgp!IfDxV;DCluE711)zKsWhiyI;`^zI^={CWU)v_*0IRzrmH#?z1!w8YsuIFcYv(JN(@h1$M%et=2VXO7 zcAg%rmT11_l?`W2ZilGGK^OtHH8sOwNIxhhUu(CDcI+DuL23hpGC8GN7DB0 zvrYNxc5-CunrLS*@?xqVJor`sCIt(p_ImP_yJ%lV?WL1hW}22}F5R`@CEHOLFSTUx zGucFqw|4hGG~2mJb42jSCbC@#rd`t>(H`8@aRV&%1qJitp0&Xi6(CF|NVO$&nl#?p z>V~oS#*>QANC^aQy+A@*n3Fl38}RC!GCTBv@UduSZLJwPu04WYLsCAwi7fQ0gQk%D z!?oLf7au#BU%seU=n{<}&FuO-UwxnJq~WKL*HsE6Z8n5j%Vgas*Zj?%ia8&fSppvz zP3l5%$wB>=emqf@N_rq<{M|=#w~e|?gAXMGV~K%r9>0AjYdy!q&&!{Jk7dgpSDoFT zK3m7KrP~L8lreMs0&A&MRG{s zuhk{0+84fBr+wz_9z%mP`$z`s1uW#w4*W=}-m4)13Yo)=Y=7BMa~|4=lWsYPBSgwJc2v%^1F_AM)Lf+!68PeNXTvC@{5Q3vkgK zL+OwZHF$uaOaSd=uKnPY!Pz6R@Ortma8RbL)O}PH7hPb}^(+_)QY-iCZif9Jw){or zPPPf}?Jzi7SD99NqNBKU%D>Y}zsS6PZPB{|*$4)hDE?Oj3lB`~x4ivcrbPj9&d}0Q zMek_84-6`zU+WA^=N?d^A1p*?9)W3+V*U1&+k_-ty;Q3-=86ek7yMWRDg&oq1yDx# zeQy*W6S@S8LO5Ngq-@6r#CsdawugwX#irgS8y|=C4W&QkkiCxn$p7%)X*L0^(U4^D4 zR*%VpEo8g+H*qNW(*qCpf?{W1CEAH353A;_M`CYvj?epG0!6D-0o%}OnxqZl`vz;oSS6F_R)c(*V*%N|%H6wP&XXPkF(! zZ6serM>4KMnW8$%BGF(VE+*CpQo zA_w01tGi~i5lYspu52aV*%vc3C!+6IQ8KwMwg&UX&R zJ;;A*{t~b{8_F~9lp-XZ9MwXu7rUc#A@Gs$aH{|!GgLX5FBtSzEYl_IIQ@G%0wGmZ z)zxZLl_rR~xE_hF9Y`3r&$cwS==b^JS-S$i)#mc@xup>WHt3QQnZkeU)HeL8z;IKg z8p)47sEp3{ zPqBfJiJVABp!iADJKz7?e)FOkM}!0eZP@80_Ww>8Uxt4x@XCpsJLL3Eyt;+)@sg~- z7nv3tgHHjx@kS2{m~|$0!n^w{#x8olUXbAwybb9Fj1jkXfGpAO!7Y-5ng!Axn%;eC z!3{IL=sb?TOKm#&*Wvu>u5qvDH6Q~`3?&E~uwNg7`{=A~fkhP1j_jGo3PPxKR-<8yQ+XXY>d+;AqYtJ|KsDY$Ro?6)^ z^~N(>gxOiaDu5`XnpdXXf99#JtOueJvG3Kr*WZjZII5Cz^QGGKfLAlJ{0Fr7PXimb zGuz+&^hxgSe1ax!eyxf&_xz@sW#)ZqhMO4N%l#btY1DqQrf)f#C|*SW!=sJ7?nm#~ zyGPk*3BoyMtIx|X&!3e|?HKp0hLe%7Wxq@~|4UQO@e^$W&U}9!Gk#!~(=X&8C>tj^ zpN*&F+OxL)Nwg&g4W|}Q25o2^ILdS#W8#}XU8<|9igBUADKyB`%d>{z5zLtQq*!;N z`r$qjJF-7yHTVL`nt#QkQKk2os%=(4D-RY zAIf|$&Pd1P7u2aHc0SwCnuLznk@gz&ORBKJv2VBK15~mq$;}qR6-qRJaBM#$o)~o7 zD9{r`g>xwmop5iMx!yj;IJSwsyHNoT3^&?yru==-3FG1W&L0Y+%AVn`N86J3CL)_0 z-aM|yu3iGU!4vqU-<8%+vaOQW;Q92RAP}+Vm=gYndbrq@ycG=Q61(${#d^;h} z{<`B}@wxd1d-=KPLeluItObhtcQbw-#y#SUk=>61GcGX(USxhyANrC-y=%X^f3lBg zJA2x>N0WWcD?6{!nkln-Ri(gB?`vZQD91 z-ij=$-OJ#_eeqO?mlf@X)N`=sll0dH@e)gjN;xndPiiwHo@m3I| zTRGS%$w=cXW5XUgOz-c+^Wy=r>|Mi{NitJ&AvbAC-d~=ZnT<|o!`jV<#iCvNpgKZ= zmPg^}(Cw`_e3VI{1jvLOW^wMY4Q&O3Z9%3@+=SEKDg6nU1f!; z;_G?t1z|(Zb;iaaFo<6Qlm1U4_;|z3=RZ9eB-=rkR16^u4?e0DOZ=6QLYr!Xi7)bO z1qH=$hBF=P|1*MICg1H>Jnm+T7$a}^!s;SrtvvjgO7Z*YoH6a~ zoVpKTg6R$W-TMUvDIoB}u<=i>ir*aWsEi6qpMlO%cy6i8)V9-hs>^+F=uusdDd-ih zPS1}QL-P|H8_rz5PR(fcmhuc$u3kJCg z(DL5W%bU|qPGbyuJ)@zls0j4(w%jipHPW1NjA1>a$8*`V>y}?&*Uc?|9%15NmagLm zU?-rGxqW*hw9gARL6vEYQ~g>;OP8ASjPjQ+HQs}nY=rsyvsGEd!o6=Iot$QHwEwr1 z%6k}5oiI*60RqC;8wJ?u$ihYHe{L#6k*9{zG4VGe*Ac)UDk1(kk3250X;2|J9H*1q*c1j-HdU$rLr+U%8PW8 zvEfTCLp6842V19s>I_35lor7ml#mUf(n6hG4n9LYQ*=EK9Rtr#c#deOvfSc+Q zEde)v8PHE-j|uh09TOU@b?(h=p!lKJ{|oUQ@0lpet*i_;ye;`~uPshOhV$nLRZ`)YSr*ZN@v0+Og-yBZ$( zuN6t{x+sU>&l1O(qj*JNw^5GkY$d1~UxCwUeI4ank3T=RVe`529XjW~=HCge>e<68 zY(nEH#(#q3u>I8n-QO&(d2uYnm`9R%lO zL0|h>Z0#JH9Hqxq4-Ae?k;$4g`hnT%l|RnQv$l~Bo|CYtQGfQ^u>NtJX=i}^R~G*? z;Wy#aEy^Fvtzx{M&xb}2WVlzG49o+mqaTbbF4zMa_MF<*H=Y-LT@~6j#Y?i68j=bN zsw^fADEqm@J{Op)S&DTSCkNM=@2p}3Ju-BxrU8`bIzWM)wQ{+I<7uEiyb9pi02+kh zJDnUOVmeiUX5#)9N@SA97RI!G47lx>36CzG+X)LJ@*`jaEthpvJozMP<^SpO=DNsw z_bfmO4X@=rI^F(qZw3`!l+5Z`P(ZNsz!7eJv2N6j%;2A4{d8`56X|4wztql%Q+qmR zt+})p4}s3m-Y{pw#Z-s%m}Vm#YI$KeuT;b>hmr5U7eoApJlb1wpUW-`dFeAec70p0 zy4;OaEv5A{5mNIPPmfoPo{rhky0@f3nm#L4ib3=Fu2~A4o z&Ezv$Oaa)BbW4@8Xdx65s3e?9GHCZY{_wVeYQ*NVGq% z*BPd%;Wvb%%6P8Wg18iFOQrc`CX+FV!Xk6D^<;*h{lGoO~4dE4O=9Ijmo^Sk;xj6C_oFBmlC%iPQRL^Y9 z3AapEzN1(pWC&Fvv?X7rzR%1%GMqNm69)tflkbp_HTj}jmdU|_MXRf;_p*&C1vRQX zVtzh;|EQty6+>M8JYuI!Su&69bu{(MJa&T;0M#=8nT5>~_c4vZjVpJq=!~?2Ugu&1 zTh5(|!oJqxf(td~w)M<)OLH(0U94kR*?i(u=HbGMihU*a`u9vz6rTy)Hnk1@=TnqXqfmw7J&D=rE;5n0E8M&6&>(-Tj59w~)<%oLCq zP?B~p-#w&<7S+++nQCh?9cN}Y2CcFK*iCo&7HVAd>jQZ9wQgM2^~Gq%JQjYo+IFSv z*U)?}-72Zhv{<d%L;Zw}uaaubnAK!TMpv5{3 z|67!R_ZPDihZPPoDQG3wC*>~Uz+Q|jCbT6Oi_Qo}oR2r+(Cj`R4ceP*hfDvPN`Of- zWinxy`%5r~pHFSHk#d+RyG#>7I`F9Bm$>M|SDtORnme_&&(b zZ+T$(sxyEz?=tOGDF>qrg3bZ}-2lEryIrqm`=2z2FZ6@LLZ$mAetv!$V(x>v`7n(O zQwf^?VsU^*9o!vEL*S!Y+An^dv46iP!R^{lyo`i6x(ybE7-*Cje`{zk?+)NODrQ-| z{r0h3*eE!>OrkMMfYq1^L7X_NDYmeGYGy|Dt2sI*j9=nhelIMvE{Z1?^nu)STaQmv z5NI;dZ-OkFRbL-;tne8om!Lf0^Y$Q&vDQ`6YsiZd-jeucsFAq=L4BR@4tz-v$3U2N zc2)o^Q&>|&Mzh)uF@b-5RvOCOn4a5XIPmTGBVLx>jNLlCgcdL#0AdV$Kw+v&%GuZH z!ObL4o+gFE^IrU$ckj>oA`ymUH?`o}Up!9w2rI}GET$NkKot};!$4z&Up1;((cg79~G@+BY|pJZfyt|xu(kxei!X9|Bcmb?Tn(^aj6@pUQq9CK`D60DGI@CNE{1I@ z#|-0rH8}P_2k_o%%{)BG^_WH=-+=g`CWf{UViJFl;<)QCr-p$ zbDW2%7{rJH1LooUS);vUK?UX`t|wg={-}PyKJ`7K&vW!@v`Ij~qP!Sh37`-dc6i*_ z6W!NzVW~}Yi}qCC<>-X+KayPVlKH$IQc7h}0Er){PR_}`EXvhzK3Io^j_SBQ;0?gc zS!X)bjH;@K*hkeDI?7K!W2RBReQscUm=mKRzE1(GWhk%A71J-?F$z=-74|Pw>2Jxc zA+k-tC@tyr;Q@`VNXd8V&!&g6V$GniJ;TzyA=;Vg-Q!`W=JXOyx7CPkw!nVq?o(A* z()70vXJScU+ado93hUl4s_PTW_8c)1G0G2`UPyyBio0E5~v=yJ;bH zFzYyq=`e5E-f}JDcRialn?%jUMg;-n+@_}kktlKnnJ#OZI;S{bWTw$J zz&>^Az}~R;`xB)SG9l9ci-~1M)B(Xn4DsWgQwo^`nya_+vCV%|On4>Aaf(4c8K6C!mAuzUPlbpB|B@PwV*Docxz)=k2P39x@J>`S-!>?XR zIv75iHir415LCH&preMPM)qK#J*4%I62{nD1O}{%eGwS2Qn%UhT|8fnld-8OrKfYp zO#^Fd(6ln66Kt<$)mIiw`G!X)48~dEyF!|eU&q=jlwe*acd*keX;xg-zY@mpG6(-3 zZtRQG(nTHJlP8^Ock*E>M>zR($B9P@qPGL@XGPNQ-`RW(XY&`cQkyV(^)oo5KZJDc z?-#+IRZUMDwr0%v-z}Y-8B7%2;S00ODDbp&gSK#{lD_Dcdc>meaTLx@CR&)AyFn23 z#9J{vH@-@*Z|5B&=IF5(0PTzMvDGPVx_)YWglyMe+b+|#D|+lPS%&0|5pJLccrIG# zR5r&Oosmv3Id21cqD{?5;Ztsnk`sXfyjOYkkmrpLeSPzKSTd}aH4_U9QcR40w}RD@Da+dW^W|K4`sR<{q}z;2 zS%=xLW&b&V2otrq4%Ugfk)hYGfBHm^{k=ZE@hj-HBjuV8(-wC<6bWO;x$KWFRV7`I zitM*1Fz2(=nV>mIHABgrwi5EbkLR-ez#4cgF%g<~FQX?~hfrAbFcwS#-En5C<^M%I zpMTPIOT{l;Bys_;Q!*a1+t|3r#oc}MDY?_bgq`BC@JuQ!*<`=3bHY0Z-3uF@Pti*& zk?t}XI9Q~fberyJa$4DI!lTS^`ex4zeZ|Gl!&&j!xw#IAzzT9A6q9 z8=9$rc(g4gk_}6Z@)+ zvhrEUwqw2ffI+xpoG8N0W}V(S?j)PK5*!q?v_!2LV{6}Drqe>ZZm*BT$^(`Jy|~-A`8HL+|2J`W zjy|ra3{)T0xz5yc?(Wtsq=EvIO`2zd9@>Q}dJsU>Z>5M^hcxfL%Jx=l)atF^!lGQG z>s+$#o>O*|;Qd1Rz`iRO+27Dbg_PZVm#5pSO!)Trm3HS1$v)cODmm+Q&aUJEwn5?8 zUFX1v;=;*9jVhCoMcmE%KCyDjSEKwBTly}=x@BtF=|(Dh$?d zLYtYcw-)T=^lb<;Q@{6Gt)(LrjfGCB_VuomqA4Z^(lNj3tG|ugqb}|E8T?ynSk)mq z+0@MJI&f$J##8Uhg{c!>o|411pQ35h%=7{YQ2Lu!P(G6YbVy>~jN#@=P3GCY9ZY|b z_V=&%eA%dEROF^FUOG0c$_)ieEPFe|1m7BjdJBq+c`0H(J1(FIe~j~YmVt<&!oFbZ z8qgT&Hs(}k{@Yizk`OZqm5k3P@alA~1O&`QPfkt(__cM0koZJ}j*d=rJ%N|0sBCtb z9%-!4>I!lJdo^^HCIHKv*}7?}&(cJ)2=#nchz&tPOrw^R@MmaZg4hr*X>q7m+-@fqg%sIu)rGc=}6 zi&?hD(INUGoOOzco%Y7YE8k!&SKKsNTt92F%kE*@HtN*Q2-a;T+gljnNyvD@n8(Dp zvIy%uPA{oRw`hf`Q9WB0aV?==>9@!&e|ix`Mv)*1gNy64e$(t+16POkZDQE$jnGFd zMPn1wkDs^pc}Nxp22BKoy)p{TZ72VL>7EE24WyHw5~UeAsS;d>u&^*G_FDAT*pm1+ zpAaemHCsGU3k}K$ykQET8n+A3~zMG#4+w<+8juKlH*W1sQ zT>ZjtJR5Q_rNOM|;$1sIu?5Pw6WlP4R5Ay=5$^RYxExgJGM)BIlVItutHfc(mJhZL zP~#>k1_yjkm43Jg9I{yym-1Xi-ij)Eg>BtRs++|80>do|o151jxYRbzmu?->IePSV z#~J34tI@(2nhTlh?jf85#zJlH_M!(1`*fWnvEU6mb<)8-L#KoM!lb3(rDnDG|`6$TSl6q;Fp-cAU-N`^~!1a%Cna9>WSx6kX zJza09J#2M1KAaMOO72ndWFoAiLvqyrD;FAhVIA^2H`VQptqN1)nX4%%2+!3OXO7~~ zT~nTWOm?kM`Bs0b-mm3i(u;9VeUL7y@f9=S=vMx8Rc`IQbeq-ZhYbNhhnf-NQANul z9wAlrYQDmKfm=!TJoBv!+sMlblCRiKRMPJ6r`^xTAT2>VtVSDnk@kKDgG(`8K?#kE zDpQo|>1paJU!lRN>EG(VE7d2~@wYJ@i;E5r@oC5*%sU_{3CiA8Z(d_(GvjsH<*KFt z1RN~k{5Aw-kvBB`#h2)fhR=KDq@|_rCdi2@lUem1;F|dkn9nrWF$(vu9w(>Hr?=P`J5JxyEo^NTLO1S>*8)MdvFI;Em>v&X;O-^%>X7b3bt#ICj$pzCdQ zxdKGjym5E|P3C``Zj=Kt=59Q?A34pB2IdGv6ENz;7)<`ZL?FZQR2%-+2Pt(EV0 z$z%7&*);qrn2n1TTxz#I&n4lO7+V%eYhn-?Z*wTGD|P)$`rQ&K$ncdKpD zz}g|Cb=?{HdFTncL?cqwzqq$dz_e?{eoDDia|Gv`4F6&I~wNBjQj8u;*l|VbU?RuteIBV6Z_Bl1Fd_V+)7RtZ-p?E^IePKrj{d-zfyZoB$9HD) ze3*GmbD+v1KVb0T`g!hvsf!Lz^69Db2RyhF7n&3llJw-O_?aG5-*DOxSddk(f|1Jw zy9W1eI#?Wio1E;FV3If2%bCP2)3C*z^0JQQHjh}*{dAV8zJk;&mz5Khfhv&kiscDb z*xiQ^q*>i(p##^f&bNYDjEVl#o#G{yFSJT5v@?P}dKYKzRy45CwrdvphC|2;Q-g%1 z?VIYu7tWaLMb8~DUsAqM%_G)Zyk+JcfW7E21s<`5&sr#+M&NU>H?AY97c^8N+e&`t zaK~^)WP+04VC|JSXP6q_Bz|;h*O6~jYNi2UT>HUXuO>(UOqQ^4^S5qFW`_a42;R|I zwDSI+&S354cNvE0v6&ObcRC(%Uq2uy=O5GhcWhZ_-$1JwK=khA*9mG1npXwoo|1~2mem4XkS58r zfk#^pByLu0j2p_PiENRkLD{#nUVuH)*8Mnin(KwDRjsG}`=slALoELt2|U{U{cc9) z;Oo~?Odlnl?#cG^@$xFq+a_0vKUT=8ot)U^pxY`btFQ!ii*;`NYFxGQq-$IEBVdSj zz%r!cI_{H(rl&H&bS$qcroxOuxo7Lc`#t2PQ<7v>Y&EJn6PLO#wo3ALk9)4f?%EhV zrYhr`fYhM57Moi#tahokWc`2`z8y*9+KJyuWt#{<;a25O8uj98S=He4&8la(ZpBTa zRIKbF(?)F!W%?*zoaF)Y)~g6#h>DIrjVd?5uJ5PKmI83&s{`t#YX@z9UO{^YUJoRa zCyb#dCsf{tJ6CL|P6~Iv471NeT-lOV3_k(Qi`Vo1WLOlo6(55#*Y1~sIVb^qHx0fu zxL95u1BrS5rQZGVqFvU9S&AbkqZ5?2e{yo+QY^WbGw%O}#aUrLeQqIk38jObmM_m` zLvOLk5{t9-{c9sm`>2F!<~obcRN`GZzrvC1)Tr5$%TeY_1 zi}LNG$KJ(;+fHZCJ|w{3C@JQTc|dBEz9}jmg#FPpVYsQOZMYqkuOICGmFny2s#*(V ztpL~lx`Bc2?ScXZyN6=DF)>mE^}+{2LOPOX?NA~HBUDl-F{gb_t~WA_hqub80*3j6 zkQXZmasPh+*$7`ud&sn^?6$l#&lk~{zuHTZMP+p7h5+s=!$oh&c3T~4T_4o)W^ngd zdj9;mFpN`kmV2K92=h(J4QR|3d4|)d@$CCYSMmDNbjBS$x%)S=GVDcx)(YIJS-DOL zH0lRD9HhgoyYZO|LbNJk0u^|fy^6GPyYFHla*O~bJNYqraZHwrsnjf!$ zF6P;YiJW>ex9rZTZTRT@lrAdEx@RiH2eJ}z>s>hiK0Iel6@Il0kUSR&vmVn~^-~20`W1`pNt5P#lgnqeZAN%rpasWxBp8i4YCh(^p9|~0&WjM&kaD}hp z$i)Gb0d{G0KK6UPF=md+-y4& zJ8jRLp^uV^Xf-iyu*)AOtNmYGVxXUh{bv$`Niz(}@mvJiT6_01Tz;|`C5_!@1A7h^ z^X1%}{fdgrI?ftmRLXv1G0XmTCs;v)RB{;dyeyFy6%`E>uImS8`(G7~2md>upMZ7= zBZnZnJ?5YXLKSCj9#y85k?5R)fdKL|U#EO)NDERWvubia({ib$YEc@lz1#oA2WnIg z9m0PB+?0W)3rYtJkKr2k;$oaEUfLW1LV%0r^F!P0gmQif19w$B`4(cec8bqqxmTl) ziS>~}S&Wftd%(}6Hu3$t;#USmQLRNo1i3+S;w67Q1uAKu744jEVtB`$Yxc>i6Vc7(TqqD7E9v zyi{9p&0MzRwF2DsD*iA)IA$zi$0qEOARb+!eI(K=2eh&q$X5I9~*4#a_2l zY?uyjVg{Z~kFYbu7Ekhl782-)_auD4a2d2AR?r6=H?*FtBuoH`caR{sas=JHj-P4k z^G6L&@pjJ*_Z*4+Wd`cU8R!^hE6a>d0*Wx?JaeFqN9} z@OoI#L+y;+^%I?UG2jLLx)KS|VKJ7i;>t%7#*bs{I|%&&?uwDr&he&AtPCZb^fY+v zS1=BD4A0q&x2ficypTo;7{D6nfry8Se+^h|GZ6U&q%$vsV82jCYTLtcg%_{bR&-^k zFgj)|%DPg*mS|*X47fxt){hGaH;ixNTP?if-n}ntDm~P|fv0nZHddc5A}pI}VMXR@ zTFNsxrg5-cl>+My6E-;;61e)IQVBiH7>>eeNx3IRM)FdN&8mhhnN=`dj}X7VZ1v^m z2o;G$vQzqrqsH$VOa$zzZV_EEf9EV23tUoK+H=4hx02@Foqe>D|G~W$!^wNb&uw;l zZ{X1?zLj8ijf;qjYd1zs!Hpze`@^wZ-eXQ1kVAU&nbjYOB)}>9jU@*PBKRptOG*=tsq7(am{5XG6>a&5lMAIL^ zDz{E3;gz&l`%tq}hv%a$DDoZ@SV7JPa}0h7#}h+DpfoUOH|ig88R z{WpBT9zJbebKdqA$D?Z;(#Rzh0P#FXm2+t)i$$KkWJ_MJ+be6J zGf2=i+DiQfz<2Nh%_A^cO7@17uMwi|uTvHkd-q-4r(5p~6Y)!aqZj;IdhpuL#UMoY zBUjsisOIw{pjW3J7&iO}mBSNYS2yyXv8-Gc}<#CFR6h~Q1L^h||xUVf7H-8VE5;r}>^cvB{#t$Bk9606k z&UntN_7oW0+jJ&w^vZ|K0LwIoP1%1va~<%)PX~dcC!SXvV!xdZ(#h*`ofGDi)xa^b zIv@FwC(^aJ_MnumSD16kf66`VL_*OLw%a!U$(a4P-x42eU#&SEuv^t<_^i5VXe^gW znY;o2Z{=GTx};x>EAo4`wUjhG9SfDU;kwKsv5wY@=81;sp`ZB@%k)Q#pGt)Ml;Pwu zJGhMWY@mVy?`un=O|MH`A^7%?2tIhgM95Pdw;q+&-HddQgR-gG0RajwE@?A9XkG{E z{Ul(d0R7BA%Kwl#()r*>7a%4qr?lz14UwAq29pLL;rbdy8|yU}ya~wU5^#kpuVMdi z=*W?d_=+^{uh$75zdE`w4?eMXYR}~c%!K$0C z2dky)xuY9NF5I6_Y?71V?pe((1STsCzy*Z8z!0prpvLwK!6Of`nEqE<@sp5NB$DPX zx)?h#hGtlkcCworkL+}(aR~nT@yqZi>5U~x=3&*@@kAQZuVZSeEBP^F>O$A}F0KVV zD5;KoE~S)NUQ$As8vx&@8fW`n9y3%jZR{?VXIm1e#!tWn!H^9)T2fiz)4VC>=_o1i zRKDCa17YgWq1S_hOIO~#nRfXBwVaVyUVoiwr`flpViSmN(eum^wbj2tE-su##o)18 zWWfx;U$D|w;#1EO{n zYy#yUkLhZ#*=}T_*ORAP`KOLyxMYD*=gSj&ehfGDikmpK;XC1AgsAuaKp*PJRBKK*L3+yp#H(AEz7jz|g6NA9Ip8Q)!Ma^eKN&ThaixAxvzo9Sb& zoqZZpMc5@0luNw_;~Uy>JQozi5kgw^s<}}fu@>X|-9=nwUei~vT$zQGaC`KCcEu2w z^ZBzP2+VgZZ;ZW!97`fg;hQmFzG4>v_BHv z8P~yYYntg>LF@ZTzQlum@VQ^bK7B6l>V&x_D|QTYXU((sI`$P3;$oe>Q+wFHHeQKN z2-3i0Z>FacguedQZ#^4E@pm-f!C=u@%U~r&ne&8Ef|MLu6P}`5=j8eJigk6vIq*)G zgA~j)W=3vJaZ~OWe*Sx+a%e%IY%7AKkD@Bm6!_u0m9aV@=x0mOcq4_&G_3Mo4xw{i zy~vZ_SDMFk|K9j1t(N2RdWM|ndDlra{@SE>f6olMgd5l{l_0jg{Liw$7%mS3XbJhd!VGpvYd!?N_=#&hGJ!E4LTS;q8MA zfM6+!Zt*P)K*Y_>BSAd|kSzC$0O@f|j);U=t{aw0^R|?DfaMr-0~ir}L!UQhukTG@^N@W8PK5|Tm{pjA*F5ZMKQ`&hu-+8h=$#(&%>K`j$ z@*M~dS96|`wFGVNP?HWH^)Tkc{FNF|jS6=$kkgIu53Rl8CT5nf@%jM(Fqr?hIio8YAjsm*Izd*ziTK`y%O^l_mD(eCsezYa>Q)!@=>E1{q z7!EXC4Gz|mXA+>mTXYh-z>g}_0Csh+$E#f=T?^jXM+>ws&bpp2W+>x)d@noH#{u z?M`3W7cZ07@>UTVFFYn2k2s}7!kYp-9;7veafAa9Y4D31n7)Bj9Dz=0Li^7CFy3ft zw3!c|F+NOqVPCSjx{l(hfMc6KwF|0D!OY$xOd5*mZA}+Ux}Flx@bgn&?5_2oqm|SX zX$d%R+nuKI6v@XN%*|2k4m5|XdGrG%>^{UUS$}vKEx5dxZZELu&?w9MNHv~%-~_{J zwE4ST2}g&81^oy6<>jFg6($m@0ZLzWx~jyNkB=H)i-H4!X~s)FeR9g_bv|+8L{#qw zzmb z3k#oS>ZyP$2ioVBl`!TiX5aQm6qKtAmm>>N;bn+2Juz>U+(Yw{BJWlu5-G__7ggqn zQS=*leXM;?rx0agAQk8W%Eg+id%qHh!lf+FooYFG+qqe}e7vjgfDz zjc;JQ_feiV-q1jY#$g*9Y@texBmZecOH;U`{Pe(j2H|@D#Ac$9Vxe9k{mrJ`|Nz zJNPlrE>W99(hUDq&4FIa%||YL=TEWs7WSDIPk;^q!F)dOrVbS*Oxf zgJIFm*~+G{nK>$Lj=I@K4f!+M?j541@!s%FO})r>qI*(dH1@L9niF5DlUj63+4cD` zGWY-g|D;{AW=suc#U7v7Y1t1AAiPwD)a@q4cRmuZ9Z zp!(WW3|rRU``Yq_(>Jnm2_y+$oB5y9{GtYK(O(!EWRlG|Pcfp_!eJ zf}5OEAS9;33dPUA9sZtw8hD9@KCM%txnUQXRTZz%!BILnul)U#JqYB7GX2s}INiiO zL>*`Sd86N2^GC+LFB&1|s>6C#{*VeBB%WGWs8astugBr9PX$c`CR!0%=+Y?}BUE9>lr>x!`56d&wsO}qy0J^wH9)FxJlq+^5sOZ^Eqh*n94 z#uXh{53~ZfNSBiRq#|}?(~-R1AOLGa<0B#Oj7{&*$@fYM&72fIvPp_{EC-^bDQ{}b z!F%tNdouNQr@xAf+jadxLESy9B>RQccZH^Mq?5AmPib&q>CBa#eAwUE493YBD0p2{ zWG7CM^hbOL&uPu96q*#dpeHV8+n+dA)81%FgEK*IqjuTFN44=rLXn?aC;Lkvp6AgG zrW+Z-d+PcP0-61pP}?y_m5Gor zNoXBAmsX9A!ysY2Se^gXw(AF)EKi*HWvOlGMv)gE85#<{>9>4))Aa-212UR%_5^`& zBS&V99>gfJF{;cufP%5xrk=SFOhKR2LdL?Y!O=96Ug-Q`cR2sh-pJ355c>@&JBsK0 zk{PKbjqoC*2C;W+}m1RL>*l%971fu8KM`m5MN*Mo+ z+W#qr$^B?%niD(DSS8rkisMIjA^7T2qJp9Y#KNa{A8hy%Py@?RLB&~R_$YDt>-H-& zI=fF8-wdKCcx|&=`_;Y92H)!4hw32XXSWxT16o)Z6QDtdGsq@i(Ro;p#a->W670-AhNSGu1 zqGcpfyHqjgz5gtb=v0D(N0>M?d0+3_5LK2ORVGdKAl~&@SH!2sswHZ#oxIog%z5?^y@UG{`VjSn^KBS>(V=5PUh4h7FN1JD zqBhUP76S@GZN#muXUw+j*Ht2hpaRsS>qtt0J)s9i>&_pZ0rU--jZVIXN5Zk~uaX^W z{F~#5CCyyGH$i`g=&nhNP*Te}B3Yy)u>IHpZ~(7DesZ&*paU|fx#Ien?VI&fw}E0S z2a2)}>IHXfUdO0EJCGE;VvNNga7RZ44yk&-c{8K%oEtu!uYnj`~k*J+>_tP#tFP;kOPWEVb! zb9e)pUVMb=T}0r^2grND;Ihva`P%pmN4fF@Zm`A2mHk#hM?k?4Tu_z+^7+!Wq;Wph zXIP>ww*<0XqGa1+a{YSsyJ{w_ z5w|o>GJMwV1}73xKyuf!{~XGotU;Yn*#7cS)ZFoajF3|a<7%`u7#nYS_)^xatXj)s zm)Gu`t14J`trwmu*Jbr*5C3T)#4jaz zMKUpySq0SeB*I;qDyuv9##>RBE)4ShHJ=`M&lf?kVad<&5%-Su%QMoiuWNo1$yv8y zAzz(ocf|wA|Ky{UrfLs8niXeyV%u}ae)0eI*MBIh^}Fp(*hGJ~{lY`+i+i(0R7IeH z*6odrHzSQAeBM6 z0qKb4Tde4*5x5Jd#KNcG{2LD6-hJgG7mi<+@*OB@k&iwau%Np!q2r8D!TovIuyyaf^`}LdyZ^M z)XP(Q1FSACNO?-ogS-74MP5%@S7tr`AtYzS=)@ktw6+983G4cWLG90V{u#noY?tFW z9<`?mcX@EX_E|{`4%)UP9j3+jYQJFOCbTzO#Z9Ov@TDiJ?BP=IdV#EXp>-O6?)Njnr>fD= zFy5!2z+szR$X9tizpL~AtWkn?=VTK13R$ax(ELPZ4`mg6$Bjed|Av-cgTJoW9i@R> z9i>HlQ=W*9g={Jh{SQAXWoM)yUUUcE5w(7Sk7Zd9g$cx&5^HSQpK;Ko$VU&lq~zvK z{!IgmF~&oWSft$UZQBG?-Q7fTBF}l*%boiW57OgSo!DO`NKpXfyx!QjgfIXE>71Qy zd)659mG$92?w*ycH#LlBvOBvu)B?s&_@Mi@N_RJMXog4J+C&;Disz8N%Q(DG7mVm? zP{b0>%Qw7vkMr#XdKz}z44q2tVNzG1@3@F0;PLbwY*!KMVK|;XCPZd!s(*FLETQDB zkIH8rc8fR;L0s9Rg0~Ns`xT2s_9N=!NAPrQ7$gGPBAnahKv?;N8$ahGRX&H4yPXRZ zzOS@iYNU@kRBlF{-7pvY(PZ5}w70?Qe|GbK|76o`x$2iGCqWqh8YadrY2<^axPe&dXon zZix0t0mZ9YP}3A_;kl4Gey$4F?3vT>SlOxE^0cPeiW)ot{$T z9Oz305O2upOoRkd{&$ZeR>5|)rz;y?PHy}>0#djYwmpO}b82H;4PUi(_e=gT$<+6ywyks{Qky@`Tq<@p??q!b_CNop$CNCUZuNUc^&4VmD?=7Lt#i|k&ivBQ zM_mY)<_JTY%jf@QA-sWtagN>`ZziiL-><7+N}2e_@aZpe{G;agZ&iExuWtJ`7WC>u z8$JlvE4BvFV>m)HV0`;#WMtK>8hT_`_)yX^2?PmAcjMa2!Tc}Xh#BdbOiyn9qukW2 zzty0W%WQWxd*u7o2o9q!!*a&QdPV1FQU&kV)YLq!_li6p_bXP7bIfRSZrI1+PygDC zfB*10^M|3Xhb)pKA=BoIEJ4eDDsG=0jdqe%Ym4{bK{@W+2xzrrqb7VX^N`jCtaghR zcQt|~i{2{12VQgN!^2X3D$AfwH_>jXr<2LErRb`KyF z*5|;Y_UhTM8+V>t_``Io8}jr3W7ZGv=$Wh6Hf(sO!fxWE3J)0No=Y6kMN26hPZYcq z>L30+;n9;5uUGv|(B1T5qHb?m->J5wk1v1orqT-b%-KZgD)nS?2!5#R&6xb(7f%fJ zdZiBrk0=vrg?+j~`f#Y-A@KAB=XlX0Q8`1MCD30M7O10ZZ0;U4Xv4N>aESNqJ7u@v9_nI*|dkbDIuM*Qz`c z)h~Q0Z#NZs;pS3fd+y_`qWYd7!pV07v%p9HjcNSh=MNd}nC)U||M~I%&~zT~RQK=y zKlZ`FDddpsipUnSNm_QcjD(Ery^a-;Rf+5sN!gXiR;ZIv_TD6$IQIEp?(gsKao7Fm z(djtO`F!5*>wR6X*YidCt0u1V!V``M#t!e)7~`1U<7cr2CmRMqpY@md8L9yKMzWnH zsn%n5=}D8!974a=yjv4Pb}_ezq%dqd8j88VUx1Ps7#l!9Fr3gfQ;%DC1d%y8hBzv6Fu zOI%X&_Olz;`o&{Q%p2szGO7nm-D8`#Y5`QcXnOG0jmJIy%0<64iMWL+QhzP`&|hIy zv~ART6j=LI3&$T-FX=3pH9C{a55#!B)_m#|v8r*fzsM0DoI?J=k;MX4%cGJ=V$oAq zS~pPAxYqjlASsoj7UmKc4nw&RnEdSC{o(VUIzMm37+Dn@)KgnJ+#%gK);LWpmqsq( z*=0o^Rv6w$IsMDmyeC+MTrQ0`?}C(eXkmEVfq!Ge&9skD9N$HUUoNn-k12lvVZf!{ zKcD>`9`+Lrejy!4FBP{`xAJKub0;ojn^lhw8Tx089q7flIUUe4-|&fV+8>dXNyc5C z^)(|F23?ldIMneZt0GX5zXxaPX-m1KWtrYe4e%SPLLLdi!o@|{7eD5`kae_dn^kmY ze$omqP7h!dgn`{NZF4I*K3>x@u3b?whBso~rZ-kF9>{#LMg4PInYkM`Bf zxa7V6X%>%xV}S~mE;sy%H{RbJOm8Q@%E|h{kt)JQuI)z-(npEhiXnU^JO71n3@Z}( zRS^@)t3HwKNB`n>e5f{+vQ+z2`50YaFR~GXoZ@&KZDv6CXCs)&I%ahw7W?Q}1m6FP z8D2z5wUsn}PJfpi!qKlH$R#AG;ueU|q+Iz{t2diB+Vig~s*@z9CJhBN)|YN5FtoOQ3aNhA8-nxMb$Wq*#gGTp4VJzgB{&4noftD z6?tKWCO+gE)w6!DYOZ8_9L{TQhzhB{h7SL1iMi0VRS8hI?v-=yp8?yfuY{JjN}UH3 z3l;nn1(XO)ty=?dT=15*f(V?6)~&<90=qadxZSvb3Ufjv&h{rz$ghX!LRwxM#vjB? zR1jW|B1!zMeQ!VrL`?yt{SH`&Ay_*b!3jPdjFk)}CzJDFSx(oH--+M)Y%Xt5voI=U z!511D6&y%s6Nqf^JGu2B!px_N0|{;MXWrc+t*FceeZPuxdniLEXTsvSe-|wBmJS=7*V4MfWNA8|TQehepeIh_O9v;V^k6ix8M^#vEyHJ* z&S7JH&G~BycAQ#Cr*VTgCO!J1Bmo&ezJFQPV=H!$u&Ix9Ydp9lPd4dGRP8~m`86n5 z&H3OATm9UURCgV9C_^wWg~;avGTFm}k3RoCIZs8!DuidMtN*=uR28C)Nu^T3HH*`6 z+Xti38j6P@+dhBh&%(DH$R*@2TrRzgFnj)tPpT;AY+|OW;h4M z*47=BuxB{qw4@GmP48LU#^WXrdEFLgKeuocl%mggqTVES?y$+4!ZO>b!;miriC{Ur z(UX|20y|~UY>BoyeE}ftnCAoi8_(|wAeDvD$e5wfCJRKP*?=LGYNjQ;Ke(fFO)o{~ zf;@p1YRmzTdjpWcbRqn+a}3>D z%=U0`=|%*DilJY)bsUGG28i;cui3n>nLfjLU|q$ktAP?S~B&NAn593#2QAXdkHK|Hj%gflwe}Oc7#!-`pNa%(>ZwXBs_0DKB z7PeH_;(ntquu-7V&2uf=&#;e%e!Ke?Qo6>U!)jn8ZBkuWQR?S0AiBA_L`YGpbK5s2OJ%u>d#$|7+Sdm(FxyWw|5;LO?4RMU@`xjVP zx*~EM`q#!d$4RC>i!`W39=>1k=T~T}r=y-r@mJ7o9-5#G^)SrZN@J9{x?yCL)Py-m z!0%2eBaM`hN}?&XFwF}BA5-8Yc$%)=2;<^iTv4{-)?shM~9?xlCw2uZ4M*&#kJSKzo}%B2hJ znuTLH0d%QD)oN%qG6g9ViIkiKI)u3TS#fnSD?+B6H{l4sfB>S)w_IMgPqs${-u&Aj zYD~h-9fM*M;A7JF{go|1dBtp1RF7k0e=pl7+liD?5hRJg2dP!7z<% zQ?-V$Iem{~E{vdGe{KK<C*}e z#0UOWWEbT=D5k*Pye=pg{k6W%qJT1vsV%%U+6@st^Ne{Y{%gJ5jdOoU0CiwB8m2&E zCC;8H^h4=k3eR=?%5|Fu1f{%iBhIGPax>Pjry^9hipzT*r(VSvjvF%n9Vb)4aVBd_ zHjXoGk&9cQA`0fa)XKV~li5_=u#%@q{GTzi1;4aqWwk|#Ucby8CxUcC^olrMw+oE_1n!ib@U5?e@qNr$0S`gjgseR{z*Ig(&?MxY2JTcJ^KpZ z|8&`dj@-dAD)Q}uaNgp|$pbe&^$XqqPNvN}bsv6&QuzaefA#AOq>Jm^w~=j4y%Kjb zd!M+M9$oD;JDNNEG+pDI>omo@SLWG@3C-{0eVY;Xd{|mb`J08~^MChjjxwp-&;>c% zVzR;TdEB7gfi{O?0?DMR-On{v#}?DOp%xbX=^=GDP#*9Ygt~e>^O9;JNp^%y;6>C9 z!ppCv{Kl_6?{M@cb&D_u1Xsc_#~P}4k~UX7fXuFgA)E`KOdp`%I|6P0=-lo0JA4x2 z>h5mbNY2;ajk9631JmkoT`?TQ3S`!5-P^Y}Ckz?$T%-C^_I zy}E8oyURgRL==!=xTSHv(O0(2{&IIYEw7=EBUil>Y+b?p*DRVOgE0a#wdq8fE{*o& zy@=hhtBU5-wOJ(hNu?(G&8`PSgj^80Y1x_2?iuGQ=$5+XS*Z@ADCE_GtA^Y)xErex zndTI-3iC8W??0Mt)gj3Hi5fQ96c|n@Mw&nYGhtNqg}~_=DBWFWTGeW2pj*FuLe%bg zeJG7vp#Ldz6G6-E=8ckVYUllymDSF5S0^N*wd!0_hz~Dv3UR&s&C)51J$cjfORpm- z&;rHRjat4B?yaw67lc^2O83qpfBap@aof4Cr9vX~L%0`Z=JSx=Z1>Px?X%>DR@7p8!#yG+wqqxj^kTDmV;1PZ@Ta&gB@S!5D4iM<^}ijFOJn~n{pefHzB za%>M?@McM~%Z+4xO*#mQ9LPL6TT>+$H+$@q*!7C;ylE&C`xojBiYpdfNs*k9PqlUY zpTAsiK6@uI)d%_(>%SG&R0+4c^88jspSZdF$RZI!w#U)lcOJ+~Q2BUnul>bB z8h#id1yAY&wlpruI4qZnyLWgzq@Rtf^f%~O{m2;f`9I?a)W`1lj zqKUnoz0yzHa5PT5-fe3DjRIOc5GgI*n9LRP9Zu_NG@K{m5hrKwmTvv z{q;><{LG%elkydj@ZUAngMI||^=5@D3!d<1ZKhDKh*|bG2eW?t)5!Lf{WFaWdmTM& zn@q51v^Cqu*nI@lJ#^aFrWJx(^|PPJ>xwCt76jzaq4*B`RF2>=3e)m>FdZ_ zuYcBe;d7i98W6(0LcaX=O!Z{Br$agTEP6kjc^Mn=PbIpMdPD5qZ? z#A(|zKA7=A)v~m8k7t1J!1HOR;^+4^KMy8JPo?}i8r-pVxH=WA?$F0k7K4-Xovw6pC{1rItUdeyF1_nJRsw z)(}F12+pzoHMWg`_cz+;sUi(Y*Z5IGzqj(C_A>U747{C6e3!Z$SgECyuI(!-?0X$j zbC`lvI?D+H1^w86oDKGoWiv0cXxfCXOrvnjiAl!0b!LO9cBViDsCJ6*>s6>bNcter z#fMrz1^*I^1qAR9o!<&v^EU^Aii2+^6Tn)rK(>qY&rH*Mr!NtmyGsxKxutzNP<{I> zLBUuJo_QxQT+HY{NV;sY!v@ZT;QT!+M--lZm~6Mw;5EUbw4w6acNEN8?R!V!9~&b+cVtgRY zOd`JtESGGBlw3P*3e#N9GXJr`1)m;vY{Pxo?~v^Qy~>ljR}`ZXIVA?4G98ywnyh}2 zW~VK-J`IIJ%j&{$$UQw-_mx(Me^Zp>QVyw_f|Iu!c}n8C2s5;dY*TV@t!iBJ+y9GC^MaUYc`Q6_U}-Ic#` zxR-#|>It@ch&*GE?bsUdaFO)%!H$Iso?6(AxmQS@K9}`FbjnZ`krk@+cGTS$5x<{Yf%B{~kJJR9nV=l^I zpVV!%S+cO)vezUQdLq?*bUL##R^*L5knEZAWoyAg?^EX(t5mtpJ>t}k?11@=NTM%8fXYp$HbBZ}7^D9U) z#(3&qM1eAnM_a^-=$bTA8KI(Xatbw*i!7jUf85JH>ZbOfcQZHq*YTLoVixwA-vfkO zA?f*#?i>`{?J=uu<0WeAr6brR!a0xmlZ8(fyXGu1dLW9N(lVBzc=m&QJvaR4ACAJD zSbxVERc4AB@2Qk8L63h0`a?O|+b#0hU*^*&p5j%FK8I+_8#HU%J(%BQ(UOO8Dxt4b z0p;ofOKjYhD7#=sM~9enoC6S-a(RKw?0(VF^!e*=UTo5cfX@IE2n4cGL(N~%!P`4I zebTpfL~*Qa9X03FWT&9Q@3$!BC>1DeV$Q$`k zk`92NwJvd;fHCe|wW$WU5z`P!Bb<10E620Tangt+om)zLeF)QW;2Zq{*uPcW%#|%! z7%Ws{*JTO6TsgVm_R*dH9ONLz(I$|$*C0J9gZMNODd-ZP7i{{_DieP@xKw14(y;VM zj_Qy?`e9)yrO{D7(b6^c$zaVVCvl=&6wA}^L!G*Ywlv*ai9bgmE{+fyl1Q8;gK3F& zMJQ^i8`bd%UGG%PJg+^inMC|?fz&6=huo`7&$XII-uWWUECcMr}pi=Ds-AjN4bfE}11T3wxAg?D6K$Mox0*IW=Un?L_O!gs z(43c)SO>q*$D~SaKbJ7v$Z@4jZ8lFrF(wh zzwBZcx`KVmDN;re`a0WbDg~rkIC$R%au)9y7|ZYFp;sLr8l`*ef6>zMKaI)z6QvMm z>c?>FHN(X$cV^!Fw%O`*`fCq|81ATayp7$xFfEbH({qiw9IY(syGD z@TOtzCHpL$^_vYY`XfvmJ9I(k#FC2{v|TOg2BVz!D1kHaGej^EW*)*Umok z79)coX4{W}rxr|)B+{$@Rwjh1&YTfL3Jorb5Np62S2kVaS(sYM07p)b2{zV0Lu6(N ztv@&T?tr!9GShrMecZV4h)(O-ew@zl(kZTaMG{vCc073{roU5b5 zA)@*O9sPG@<>;|{I@&ERmp6fj5)??&E>feHZvLhL{n4f9=@(Fb?@fD#Cv4s6A+@># ziD%{@?>ezSZapx{xvC1b0|7rS(nCA9)goW#QjKo&v?CK-^K_O1oGZ|G>l^W7?Avc(91WiI7 zF9bDqe!23CFfC>U>Ce>@&o=$ikB>ET1NxQkb{R!iMC`$q56wmnnX5=Xw9-mwPcfHo z3Mp*%=hAsYW_$|2lMLd2Z*g$&mSje4GGA=zr48z1N&Q=t{m5DRU8)z`eczR0mj@*I zAKlTsf^CibCc+Fq*xpeCFfawA3XG?{r0vl_?@7kg9nn%Y>iZWHC{OE#Aq zo82eql%-}xZ=zO%h@PKry|KnWNEp825*l;Enna`SpI>5sm+I&DkHWT)F-B+Y zjW73}sU7p93$Ic>UP#|yOT63lNo6FS*sG+TAx4q*x+2ZFlQv!9+Yr&{=QY)8%gpoV z$te81rrxS6w2FBj{~li5B|E7yw0iP%Fa6O+>JDfbOENv}GBIIZL8R3x5^<3u9` z=A~Abq%aZnuTB_rA3&R?CYo|+ap6U^j$R+kA`+~PfW| z!f+CJ>UVm%+uJDVCTLLf^*qO38kiY@mG!$>;jmYay5u4PP!;!;(8S?rOvJB~GUHCZ zjwXmKoLVs$D+yrK+4U9a*~%=;Lops0w_G|RZdt)2)&{@e_ClcVX=hl;RjM9wIYcXi&_2KOv)8$K?KBrY$R z=aZLp3d4;X4@1y5kWnuNjrqK$8B8j8a22mtWVW{stZe%$^X^I9jypHM=ksJTWAD#f zzKR98wXTCBqEyQ6KgYycpR*sWBFsn=y2N!YLT8EBi0@?oB3-@M==9F($boeCD$#Nk z$ceX?vyUX&Y`3C0kldisU;@9-O;zm(N=|SaBgTP#TL%+2pBMfyk&$g@NgVt*kS)RV zJa;obu@qP;>i*^}m8P+lcrzzcvUKZAIGX1=dG_lIjZvo)drAGU4fF@c9tvi=Dw0t4 zdUK$tBjyrr#wb;VI`#;2ywqzpC?_?(^0Et~e~Nz+&N~fc}{fk2S(was1CiFqLOyiW@x~Qbh&-K_ZZ>P z;o>T`jeev)ov`0CX5QGNa6s-Mkw#Z=$6>{fibKoj>E`fUcjBnd-P=i$CJuI6!s^_T zjA;l2QA?TDZ&%hJUplkEdC5Win}crrf#8GtY8O58szk)eUeeg+9{`ZFDJd^ zfVh=ob6TtCd%zh`Y(&tz?Cwd5-bpo4oSH+~#C4ca8yB zSJG11EeBSgRqc~swfoC!E|b+0CJt3y5@q=uD5Cre)7(dxC-NajSYKpdyw}v^YFneQ z*QB$As<`Jae-Wp##NykHmfapX^TsW@BP2G60WJM4ANhe- zpcGzM&U#__N?KUh5x*QUt#$oZGA&;C{;ewUszn6F%dCz7iPA>^bc779#MOZ;U6sr{b}<#Zeq8Uc!!i;B zjYN^d-<3Z153rMOOG@t#kW4hKMh1o3VmInW{}J96AjMF37OFX_-AE0E?vE~HCYVkp z5z>E~Qh4+4ih3|+GYrj2xit}m1!Dag_fvvmY_Z33%l?OE4~I}698X6S5Qi(?YB6cOLl=jEc;E${MqXpld2{7 zzKV$Zk#B1?MpLDf5Y`qL6H$E2~RjAZ%sfnp%HLAoBZbUPr^oL*Vbr&U*tr{W=Zn;6|PV$4rTtE}cZY!J)5o03OM)I@iP4@167*tuh4 zKjYst(ovR05Fb1&xh@y!77N0_7<+h$!6yOM2(r^yBt;tX{Iy z*G>20TiJZGfgd4F`7^MdU2^FzJP!IG`lZ@sQut&%lZoiW|IijZ39 zkhJLVtje8BHR<;2@b32{n`(bd+kV^BBnvgpe{TmJWVtYP5Lh4(ccu=^t1X@wBW$(QOH#^RC*o3|g{ zB7drB^0)w}Vt5dTpLzduoOV|9CE1LJ#M9Kuf$5H_fHUws+4Dd3VKG4{USU@0DqU+j zZaDm%Dm_(`qWxs z%PXp_+J5Ow^`ld67wde)#>Q5e*Z!jAZ5ZVtH_`Z`qYd*LC7%MiseV^!8aiZ~FuVE- zj*?w)Nu~UtGf78k_$Y97)@k;b@&UqwrIG2*Bn$mR$q?s8Kl{tA@67I{BnF#{PoMi+*hsV4Uyb|vOobyn zWL5|#v6IU1=NxqzCaGmN=Scr<2h?X22v|rF`NAHIH1C9d)P?hX9zP40{}}=4I?9Lw z#eZb(6A3!JH{Y#h=(vQ2|NDCCT~*@-*@=d2*V9Ch6`nNBQzy&rHbIh)qW~?9#or)XH~Os_xq@nJB&*6^OcTWfQwy z@pDyZ^3ZL-FATjjmxyx+w83_kvFgmUV8#iuQcB9IW6YlmkLV2pRgsqsoLe`y4bBLW zagat7DN@~?T$a2SPkm)PPSSZ#GQIxz7FxM6O7yzfIEhL}sPLA{G8@?_c))5okRyjd zSgWfUY|yZK4ol-pkSn|5>wA6yzVjuZsX@8;eq5Q3c-Pv*WP}kgeW~Dlno9-6d{+FZ z$G#$DNm=kRR16q?1+;4t;D8A6majm92U#C|0H(?aNN&O6zD<@2MRb?rLeVqD?PE)PdZn zN#|5s7-en7EX&Uj;(Ms|?3aNAq#MCQh@Yf}mqVoS6oSq$%lvlm0J7|CG?@BTdTqFTWhZuhXAr#1UE zeI>0KZ-=^NY2iIudyJMCU+vE5niA$rZUd@UeB-ZJ!*}&63e}DjhxczkRnm2@2(Elw zcu&DeTHZndBf|z#1ZlE>>Rbh5^;Kgg<12D}Pjtk-2RxE{Zy_%!k!c7rW!`*rfKpkl zMc)T83qyIPLwlp()NVbQrQ004KN^LrZT$S%8<_Ga%n&myj2nIp{o&V&gaN*ElN>qq^_=g;P6hT|KRKv!7m zh5ew3*r=R=&4IoTN$j`VuIId?;*~h{-i$nAMhO}A%wVUn_ip>#Q`di!X4kM^#*Xc% zgW`-V$+8cQ4-vh0P9vHY7tCnQHZAw|`I|Pm49yyGnsPB;A3EtFzMM%bGhM}rqy-D# z`PCJ#WWfTbsw!~Byw0)iArlkPV6A2&L$zq^`!Y{orB!wt_zHotF1e&in_=kCa+T8T zwO@i-FKUPbiFF4oQ^}>25cv!!-2IK;jMYq9rmH~`>Q)*;-((9erra5y#~%pYc++Ea zZ@_uH+^Vg-!NQh;Xk9vU0r0gY14zy_=o4sy`88=_Pwkp^03gInxt=7r9|aM-iLQYa z%^MK5gs7R%Or%P?-tRikLgFSQp)9G+R`hm(nRbxH-&dAxqGx=(J`(JQr$~vSb7RoT z$3zIgSs4>$f3F!Z8?JU=Fg%Xrd7V{mqi&=y(=a&Vlc^Akc8l1aUVOZ|>LjB(@nfs@ zebFV}{3YJ}(#4u%sup2wZ3YFvU_j)D_AMEktFaR;EqPMkj`d8DY}t)R3_iVemV#P} zWq;e)NxL(M<(-_Z+(LFU7(TNH--@?xCxj4BNFlC+w< z`Im;C5ne7_6iibeJ|;K!-hJ?b-e=x+;%f;-eOSHPm$HLrS<@*8^|2Q}1u}pCrR37g zAklMP*puc>@fXznY+};JrUmvEb1uT;@d>YKp?l`vy6f*{M?@6OsTZ325_vpwL(A!+ z)K$l%m*6PB*)s`ex3TE$$@7HS|Ph@$k0OVhJ3>B#L?bOMeEC`dla0g z+=gn3E`>vG*}AQ>gGcM}?kNkWbw3%IDF(b||FTNB;)flGYVbH>qLn33XxS?Zn#9a4 zm}w^jR+V~Au-B9?zzZMB!qRmeANqGEilfxOdxi>`qa@Orr}O#YaC#L}6j~m_wDDlU zpzL6ZJrDm2=|$LV^C>!T81y7mDHXd#scze5S4;`KiU=tWNnRhw3MBDo+vCeT9+FIm ziw$L(m9En&pHgLy*pUmH3jBg-&URarE;RS#tcVg3F!R$Wk!dJVy7_H=n!W+i;x?(O zaPmr_^B^?Yg%3C-q_BP4C6rVnVSTu=p(Sf=kLx&~i^+YddP^sY30eJ?P|AeDai?M0 zbjJu`4cF?U6~>OpP@oU;(I#)%7(8gpnu4dH#MUo7g=0EV5~&BB{iO2$1x8r1g0}%YL)IMVhvlLxSlG{^ z!Dq!(y|{>zjx%vQs@tMyi>E|%olYRL$3Rhe~uy=Z$fl&;l*M^8r6_x(TF!9jeV$fQj)`8`$P4A583)(9bhJH z9Db&dKD-}%mf2xt`}FHkc2@%x6G*3BYr>jmFDhxTMHJJ zqAt@g&jBm~g_|Qb8(^?h>5>pgqg63DW%@h#Z;#0)(Z@eRDsb0X+UxfEYf_LU!g=QE zGa0&)w~f752?aSS>T^3{cNyU}W_=lJtAx97N+tj;bQnaj@6V>Ty?EIWYLW*tR@HH9 zB}-q+1mHLX)3|qszM>v9P3L2waBEOAdbaPWjD)R*Hn3r>`D7PxypIwPIyoA+w(c3I z7*zObZt10If4MKmoBt%1_3LfTL*l^r`Bs{1S&H_K7>;TqVieA@&pK`LU14aF`i$0u zdH2CXri$;FR6*y+(uy-FyT3;Ntuvc)Uqs2^-kY9Nb>e^%4(ByR8g=6#jY`w!Q|;BrUZk3489tDgII zr|r3ySY4J4b-vB9w`I+i5{5h?>D$y%m(^~*t;h#FGjuy(WAr#5n@54S^qCMmdB*Q~ zEeHgkVN~U~N8LwJ6{;gStn$Q~H;0@^^vh(&;L=*ElwHI6CUd8P$f!Ju{q<&c@Bfw{ zY}6rB=J1BZ$tTDw@oRANO#0UR*U0?CAM%waMRXoS>zI+LyUvWT)_%knNNx&q0=tJklOTi*HMq$@w+S+UKm4s>1B*O6&Ze@;_`v)VBF<3;>U!(;Q3p@;kATFzs*nGyPOJuXg%uC~ci%{P@seu_X2)z^~7eCL7id4zBY;d4!y%gJ42x1X`=lET< zM^^UEf0?I;ZaGFS(WJqc_N0|v9Xv2)X-FHz9q9tq81#ozEBiy}d4kcfmX{whiEP?4 z41L8ES_U)nv^S})UoN`dSMd@ZV2x4uz&;ZuWa1EC8kzGJ6SQaqdTeO!oie-&1)e{e zomsK0i0!t9bA;WWNmX_odTvb6h7r$ox~6W4C-oec&URhjW-hicq>ac-yMA(}v5It^ zRTNSTiLRL|ER^Z_J1ft~klR3fkQIQ2dy*ob;Z1QJ!^uf+G;v$HSyvnv0pGJN*l zMsn_k7RotXMz)0NL@Qd;BndcQR~=jI?prP&B1tsv+2n)M6*O^#lyMi7_{fCzI4Sr~ z7Rw*4mF`3si6%_=icRxNP2JQ*#(Q#4Q3+a|D{RaWhAyDKPX``b5#3O*v) ztBEGI8FNjPTz~b`Del*?2J2xJpmX?O+6uDHbV=f?_(-e3+(3>y)9X?PYhv!vJ(dNYYJ9AHo(w;bh@P4V@U1Bl&f1i7-|ALBETuwvJwKE`U(6eUT9q+UNZj%5oV z%5H?w3;Ml1Gi+uZVFb}~?zHO0`!B0C4y!#5W)uLg`i;s~>naae=*)=x z?|9{tej}Q2TTBHtnS4i(qeI0#tFC2l=;VH?D%9 zi4K3+{}l0{(g;EtV@j5)ygfbTR@tlo-suJMdvD;ETy2{RExvPsDT`FyD7{ z(sEID`dgm!c26Q_%q29jYiD?@(Y;MzzVofbHG@hHo+^F0d>f}nj?Ib&CvE-YXBcM1 zl^1PmuT1yx+GzITl%U`SV4SgW-A(;t|AK$Ow&q9(P&dhCr<{mfJ>IvaHDAB0hr zZNeys`19jA&Frx@0}NGHF6k1!Rfb(MvY_ch;&m~6kh2G}>|ML>?pyx`-6&|mNQ$Di zK6fqnCtG6dFTMO5*EXZ@)231g8LG8_KWI4dceAg$wo!A28xonD>h%SFtLM!JFK~Jy z?L!9#|1TWK2EZM~=JHYizE~5Izr9qoThHILKSer~17Yd8Lh*2i0QGt|nCB)xBrzXD zgjoxisq>fojFaZJ0L9%0CfD(0w#an67mSyxcy7J{OL`_yzw@qfxt3aDY6Nh}piBD# z&vpSXHQO50w1&q%UD&Gu(2R#H8v#fFyJYzc6$0jJ$h{L+^VzYPgoBiZla~+1scEP; zX1{*@O85NxY$+vYE&QmGU0V%{fBqQGvQ@dxTnBHlS7g@$40$V>;IR)+o)V_{BrU=f zT?-?SN}~dk=61RBGJ|E7VSp0HuHp{}nP{%_FTR^YOgcLxBwaL0y1ca)Kmf*#MyYKx zgH%Db{m{SJN!f<@BlozA<<=yWNPRnR!TfDz#}ZY4Vep5^k02V?_3&Se-i^~}1imaIJfWB+M#G>NLy@}49- zb^Uls@9RlceW4I5g|6~I8#l9C)2V)DCzF$xKg<1~ZLf%TaChlIg55FY}VIRKe#oX|?0}cJrlCDf|Rc=fr{)eq(mxrgQYc za<}K0j1e@QOJ&-&(H%q+mcb_X%oV)W@Yf>|*h_9~@(bf@cVV)*MnN3X1HCYQ0bI%2 z^71I0k>o+T3^_9q2>)l94~Jj4Ma2Y_ro{D!vxn`4CQVK ztg;M+9i?TDzM-wcE0tdC$(fL4F<-H zFjW2oaE_D$yDFPXqrY2w<3C+TZAY!7;|}0l`x(+w&hzCD0DsWiaQrD?%P*>G!!V-6 zf8!gI!Zh2`MimW+41Dt`;wL;WX2u*|q+P?ZMdVlxrQUYgNh^ue=NXhu5fNvs(fgu6 z?`G7w{Q6E|h>h(eMrwO_VV(o*VImgTmTEq zyqEt-f3ZnEu;&W^)?YFP@TQXNG9*Bm1V;ePJdCehkYfUiO-@r?pdhqva{&DR^7{kY z#hUs(fGMSxRY9cHc3Ociq;Ge$SD32sjV-e}q|Phs9R=}aXy7ASrs!mMC&Q`}txBxy zv+PpW^cYl=t$n}!%2RXph$CBPHcA2nZ5C+EvT7j&V2iI6;x82789=6!AIf2RI1OIg zKIv}pjxJ+dt}FoU8?<>ju%1U#z#{~ypP3`y>eyey(LEN zeZJ1P9TpJMMF`WprK?CzGC8E9$33jhz&1~tE14CPPMr@h^BvK-kcaH?zQ8UXD9KNA zkxAC2l?vFY$4ve1tOJP}kV`1upe=PNQtyCPn?d!~;>(?d0=s(kq`CdOmtNW!dFj}& z^&5iU3uI48))V)!fK(a@l+S|f%oxC7&8 z_Bfub))&_>Jsqbx6+>M@EWJeBnl?38p zMbhhoV*djeedt4E)t+nSf`K8CD-N6*hwXI&hD{aAza6jKrsRBKcHMFK!{TUaYN~=8 ztHGP1OGr+KmA8LUE$;!h2YP8B=>#^*eJU!GKr+ToTMp_Re%yebzSIQ8~Jx(w|>SK@E# z6@qi>yopaHMgG6N`{xEAwm#A~laRUnn`q}R=^1BOQ4yJ=uq3?JA30%?O-Zftrxr8~ z3t?;7*s>V}gqj-)S=@XXkuCEM^O`q#$qJ=s?ntRR5lk(eH8(IbJ6?c1jRN0TWzfwc7wR#yD*$mmbeu%H4CWNm?6v|TDi`dO%iZL}E()3AQ#+CdQd1u`vQ z8NYjmqbh~`r3lNIs9eLcT0>aSBcUv*F7NpQu> z186KSmp9W26JeQpfj56U&TitJVG$m);b)navX#`FIUCw^Tlj` zc}d-C8~TxOhs2NWzpwZP^;*PO9wMuYiF8sDMFNO}p)AO{!#=Sdx_B5w7=9pH4gH%X z=uo(^Qbbr@N}u399VJ_2H@tvQWcY>$64X)xZv1#~4gVBGd7AwC?{^d3W9a#H$KXxz zg1=^47y$C+>HktH-LXm5{KZUUKvbD7tBE~<_L)3?{RqN|zRrNs#Zggkt3wQ>8)4d5 z^TZN^c+#*l>~M3IcuN(oB1jJZckbr%*U&J6z}o{%1cdRHF8Lv{_R52T!cM_LJw3W91-K^>_CFeQOt zA<$Vj zEBw)L;p_w{-$?Fz-{t#=|9!dIIjd42s(yz{H1NU;;1l-~O-d$JP1gVKvi|S)S1hnb z^8#%46hf1a3|FC2HRhFe!X#wN>cXG=knpaHz4&f=(jU%EObtg1qh6S}j4HtqlL<u=@QhquWseHLW07wW5Ij`$n`8Q`DQxL`PL8ZosYgxkHAA&LS|0%2+qA$ z5c6bzhIC5vBw?ne1hD1Udg#R2L|A5?mxdUN`1IS?1uZlbb_T8dcp3cYgD(QL=!~lN z2dITK;SO5k4!>3$oSL6F+{SM-!5wQ_1{;E~CK++a*~%eD(X@_n7TQR{W1X4_7MyLR z5p8ucV}-;OqIdjX1aMfeo+N`LmAk0O337?d-5$)7wXMBd$xvK<-25n%Lv{*(FaTBl z08DownK|Hvj$iNQd?{Uiue?EC{~*>9GcVFG(IEQr);3GTMn8;`*;5LbEA98UV#kr3 zxt@=%C-^gOsAev(Z|()$rjPon3mXRC>8Nm`|Hsvvz(d`?-@__NQK^VzEr}AclwGuv zy=0rQBqaM@){-bf$-b6Cwh^+-*eXSgrO1}GB-tw=3D24C-~ahPzo*yt^}X-zGRAy9 zpX+_DbDis)Cw->>-RiXej~x54);)6VC8Hxk8JW`imV(iHWWfdxHcyHV8u|u$ddNRu za<5E57qI5~G2vSl91q6t-)EXH!+T#wOiAtRU*#)_vG1j(st~xa{#--9;klLySj2iG ziPg>DN+N+tD8nRWDJj#|E4~edaSG?^E=Kwm%?!N_=k=>Hp%2uutJ(L@VkFk8{q6b` zN(|dqbVSOv;!VStG@O)3m~5Ata=3rywd2qQtMw_I`~o)#&vH%L_5EP>>ILfI_TY>Y zB)$OvUYbCH`(rH&rH@d>?_L2bO3(i!9rb>-p$Im|WQhcg6)oLpCgkh3=B1HeB}S!I z0|sp#H4L`6f^Ujc^|l28wWtRTUoh*S-EWfvYdpUy494(WpCWGCbj$w$^=H7H^tS@r z?^M9oED136+}mb}XFeOgphkI2R2#x3cbXRDUMJ3se(Gqxn^jlsQvR$SmcswTJNTr@_#3Z`NEpebDRc2$cC#PjuX`tm2Q2H;3VC>Z-YrJSGU z{P}{+9sf@Qt>J7*AlKi~p|7K?DXf??AxHOtDe(JXk;n$Tjb+HU zKXi1A5H{sCCT>bQ%xw9J$4=V9grE8|4vhhR+a!Yu1w;s&#q69wr#; zcEY7oE32L6-?y;xcT`!sdr4P4H=nQfnnQhlA@A(c@=@GVKQsi}dA5WoqsUF!t5v=J zGqbJU2EEk(`PDwO{u|GFnih?b|F{S>P%j5xRDqw8)>5n|Hp0%Kv1oFSzW$?$Khze7 zBe;(=x$6Cz8YxIKC8^wg&^9XD`k_L~+;^niZ9moD@Omnu^6 z=i9xZZX&hfxp{QURz6p7rxzHm-pa`-fSsBjdagX~AbCTp^*v&;NCK(^ zlY#rf%)@?ztMcrogKt}E-aU-2zGC$SwzMlbIpaxt@4wxeJe+5|P@G@5c*>u zH{lJPhSM(w47t?9tYrhm0|Cd&fi}MV^hKCw2uO1*2w^Cx~F~6Ehc(Vl=0ilXImr%4U^q zOUT~(Q(qu3-g{QK>Od)Sd{MviH6f!M1$~?Wil+DD&%UZmdFkCngs`p*; zoO)Uz=LnLL`zzf>Tb6I_y@A2VBLOx29{WzjPZms1{hu2N|IDCONHuVdlEf|`&0V-x zJk1krmjBEt)Qa;ym#A2n>La(OmZpSQ_3-}otQmb|G!|1O!zvDhyN4Iimeg4#~$F9#rc1H<}A$H7x?svj6*@A->16(4} z#=ZWg$R(qd>#d_E$qkpHM5tfaU5yw{)nT=|H+t=vh>1?5lO;!8{a^o@=!Q!$yd2`4 zY(?ot3L^5X-m<6;oRc}Qp;mnL+w9`)oE$%-a26@=4^oJ4w%)AEx-Zu1D0@UBu8^`( z_!{0p8oZ?&Kuqud{CX^9dfk6Jh}f!~GN?CoYbJh3C@B2JL*R2l_mW}k@=Fj=ttDj+ z{gjf6NDuLX)v71zv#$DTg|igkwI`0rsedVXk-eJmpEZ$8xQlv~pwK!v#WNaMGaMmb z4!7P5BJ=AXny0wOTGb?IWqBCIPRK+s4Z62$cFdR32(e%bIV+>DFpo^TkZ1VgnH#zf zyU=uCwHRcrD!VZJ#swWc%sjhSmlg7;b)Gw_q)$ibRZ2+Lm%Fd6fVbEkFpjp9v5 zk<1JW7PPyIw&S>r4E$ee^0HUE0NnaM`O30vx;5~|rH?&-XmtV_rrm`|pejA|rf9P3 zK2v8IU{Yjn@4eY-nDX`tXYd92E-nqO`d7d2#Wd>Z+94lkbS>h0k3YI6`A!g6W;l?q zvMVRQraje`jt+OzzILg-sAU%M@@N}LWq?S%4!-EHDh1TKjHq9heO4;GA(Q%_Uro0I z`Ra$iqyt1Tw@aB_G>V0nxCa0e)3o2tQ22b#(ecbJ`dVe557ko-&}3AjE0hVQ9oM9}@-d!3H|OXxAa7YLj4r;DSp zBcHiK2z>q_IA&}(7!U#aBJpDt;|qO+hc{d*g30m0WX*1Su=v%(K&U|khk0srTh^Kn z&Rkvzh$0hwpnaIxZ4MEI!=y(~s;U&Z80kMU*B%pmFt#^nGBa9q2mPmJMBCgy^EU_b zhTxfi)ulwYAv}5O;r0K0X}N2h0!N`G1^y?IdfuhzY23I%E=gR|7TBH;y{~4j)8uA? z##h~%!GP3ETOIv5VLGvOmtzI8JLEPDf<{^W#!~_Dww(GHFODyx9{ZihVb)^Y^)Nbh zVqwWZNBzEf2JO@PQ|UA53wGfL+sRSoxf>H3Nn{QBw&|bCMtlDMBSi7d+;2NR`_L|A z`Ot}7Aq&cpHZ!Y7UO0y!?GUwLx{B@FMAXXA;7Q%#>hPTf?mulnC$>o|E^$`26=X;)RelHvp@Av znaH~DdxI@B?5>q;l`Y#_ru4@EyrY;0u?sc+5}H1FVuet!#br8ySSxL`T<@3Hnb`iI^Gy3ygo@&am%6bk)`c)oBzy9ceK z6`>X!`#>PV;+7h^e)KJOdk84-EVh%fLZP1%kYd@7H_N~W1N@bvG3rEp0htYS6qJhy zP}?ZrA^X&fxf$tI!5cQ?or7qmpEQ(pNIxdUWp4qnZY*ky_CfrF7=PC)I7zJ3XUy8@om{ua(dUJ1?FD0eyT z! z0UK_<>^+x#z9uKf4k@{^Uk7X+ZM>ywV?wMtNml@pbF^>iMdFHMqP2AEY$Mn@%QuPC zmyIi^%5v($fJ7yDB>jDQX#;DSh#kc}pT&musRd@*{(AT5cnFWrXU_-H%OOzY4^kx|+qe)m+wutde#vNHYIZRe7uYN^ zB4Xfg(%|1Z)34OpVW*vF9RXyn`pI^z`-Mrx2pWuo)Su~S(i)(&HJkRsg;3Cv8em;` zkW710-bytuv@m*LdJ_JXN3N9H$)+<$L} zQ|zXHDCUvXcdUARe3jBr1XYBWcV)PvyV0KMBfGsziW>P*2G{iZIOqhr);By%tKP&O zqV&n?9qo3=yuQPVx#ky_XaADs^-l~St|bs1xf*AU8>B>p>VGbFq`0^z?+Qm<2I{@q zfJfQU-=zQDyCE2KPiyk< zWC;ZF`D(pijBE|Kt`xD4+ERDzsGk5jj+tuO&lvh@yS~z}diJK}F6Tf-V*#16)wblyj9*7a&7NnJl9lVZ%C3=AzZNyS zu}Q=^q~_iu+spS5gec+H_0|Pv2I^fgrWU4(|7UqUgNH<{yo^7t`?n43D<8r7{-H7J z$&GaGMD2CIZ;>X_CV&;+E4KW5%dZy~NcI1o&U(Yxs+*&W>i=>dbk%VVWk%}B0pOOM z^;p?*OLb-|{aR(28)Bu33OiYA#aYgB8s4T$xv`OGpuz~d>P4auj`J@yp-z@`Iy&_q zuBr=zj^U?|=IM=HYAtklQ!|)7zLvu}XXa=}X%9u~iwk!F)5GV^y|2m{>0CFJ3r#+A z8X%IxQ>j0eGhMg6x^9u_qPuB{y>B1{IE^5x`@-eHg=0h=0X0>O-i*;9*eo3RlA2U) zXk@hL6q^2`safv%QN@&I>jFQ;3w1QYFn$Xjos*2WR0r=_Em{V#pb@AFy63c}+$ocK z7260FcSNW*W8Uv$8t`nKR7&nBH&ME}SH57)A7wwwwba-npNTWx`(+}Idw58LwaZ`) zwix>M{xMQNv3Za5J%+pu`Y_;CPyo2lH8Q$WR8;hLoxR|Lmv7%Pasbk;z z_2R{~J9g~ALO;Tzid}aF-)L-9kB*B=(<2oY9!8*|nkcdBu#}WkMi@&Vq&#ckCSCjc zw+sSn9UP`A%OkiNswR@fx)3tyPOL{BxQ?#weJ`tGBZQ98(ILrfZCdNmycE zIoa83>RlcX{A+me{jOnP%fZQ+SaOgj($e1EUWI_rK^*w)6pi-R-Q9+2w3n6Al;20_ z=;#=_rZfX#)zvTePk(QcY(2&jw3qJ4S{;`_Y||nfNe4=R_ai!G_C2mUGk#qmcI^tb zFs362Grrmt#PW?oo5ikehY}axctZMM}ziXrY*cmcaQO1M?xO!q@0vg5VF$7$FIQA{_iNR z0BZfi=yW(eBgCQ%;aJRw`Hd3z(A71nyy5zfA1-$zBlmJJw{%-23U1++qpua$!3x=j zeW80eIXQo(4a*P_5UM~Tmm@S2Nnl7&pH0&q!B34(>A-kmeEbU>JhcC+@}wCb4XoqU zK1ndIgX_vY0pS{lYGSk=WLYA&?GQeV+%Rf@mhc;#KKR)M42~qd85%OzrCmR~2veYc z5}AS=Lq0}TwKz%h5?SBh;@}~oNr{P^Z;O%#tt+mkp0>2KTyd+sK>!fHkg0fDfv5i4 zx68U+NPGzQnWQ8KQ*G+4C46 zrz4K(cc_s7FbSeHgUVxEACcFiGo&uC9VyVa&}NIOuw;6KBPsNw$CtPF(@97_F{(JP zk6`pOY6jWEMZ|O=(We;hr;9Ev#k%$^_#Te)b84zP$=Fcgt7Nufn9_9?Ikuv;;y=aY z`WEW!3G=eaPF?r`z+O*$-hQ(1iQQneACgtK(yN@wgUjEl=gfd#n^s3}u}x%Wd;2WG z&CM;#f+HW685@UE;LGg$46eVYB)8L!u$^FPl^bBIvzTTs-W{zWxXN|sL}_`M&(**A z2;FlXQCGncZV4sOBH9wF& zR(9vq<7~Er7yjPW^Tr8}NDfi~k{%im@g2eT^&kAvy1M3%S`%;`RVc#@6UVHHKgy>b zzptX{GD$=6Q7O{%=#_;}L6xf_6P7LuBsWLAC81 z!3`U>tvNVszhNvV2R@H%9>Sr4s0%Ern+SR&T|Ffs7m8tQikAVh9J~n`_{3_SAVZ;& z>bE6D)F!jlv!{O2(>5{q&Yg`M!G{|%at`3G-((spL%efh5ATz5qNks%jn$21e`R-K z+R-?n(E5?00t4KDRmq|e!l9L3k^|A+=m#pcX~iIPoUB zeV<9sw-bAGoq4rJC7AaH5R}rycxALlkS_?uL7U=?C%N^LFRDXYCvtj`H24D+tzRU+ zWvO4^Lg(k_=Z&~|8kda>tBfKMWw!8BX09`%K;JIuKd!vfzDP5u1c_G_t*6c?i^20O z+kz5PGsZ#2*dK|rP1Jm=`KcfCZZQ-Gv;HNXtuxnJeK_5TP8-bk80YG z^(uThIZEBo>juuw0>_5I$KEZwaQHoXQ8&++ymobE#_KDup=SH*Z{LJYojQew?|pZ7yz&La;|&f*y3654 z%nAI{gwy4C$QBiSeVo7#D=QUh98l9jwr~dug(7J;q_xppa|8##hOImbBg7lW=#Y1L z$a!vIVQ-AO<`^x9`Q*trc|^GHEz(&Eik{R(__EFrEIm0mIO4ygM}K~Me{VYlA*6TF zy$^eaM?`$}*;`Irw{9J}_LD?pZlHrYN5Q%OQ|yfOXVgF#^Bm>`7H-h zKL3zmU|@JL`&WQgRu2Po)C@)WtoL^oSG@-D%Q zX1e2OA6Yk@KtX6Rlef7H5t#pJUS9H-&oWyOU3`-E`>Ve{g@9qFt?r*;2`Z+IsO?vh zlGlRtQPeRt^|u7*G6m?m=Tq^o#jc~Z*s$>LDim4T)-E*%@}Q*3XQ8ftNkjmbXpmmz zHV*8AS5uwf(q+UFbQZfi$8g(zhLhMcpC*DW(#W-AN2Wxmrh5??86`m68~WJ;Hb?YB zGf+cRAmG5tCdH$3)@NZ_lTu$mtSIGt;RHg;IO<8RpVDAQlmMGArcAl$&U@gSzSL3( zkEPMu=~u<-T?{~@q0(g3UHJ9u*NJ3HB;JWdSuKhJxX&;8?yJw#6PD%-SNg)$`{Bc{ zc~~@Y2V0QlmzK|kD{c=z#p@A43%FQVT3*#;6qfBo^NslR$L}vc=PP$Y(Z9^c^1E`% zBl1DsY?e^tV_IJGL@oT6eW5rTRO&Fq`g+SHiG{iO`JMC&v(2hj?|2u_?hMAS^3p^G9|f?RxPR+KqdH|%mrV%Kk9CFct}f1jve zu8r?pf6&LeBH3t&Ov!9C-|435K|uczg~}W_>`Ivw@i}aOlvseT)gI5D_Wse&oV=}7 zW(vBZHbmu_#k8it;Xc1^}PNFmS}`SKi{%R^DC_>D_b}^UFi0ROeWvg^Ulq*#pBY8c(s^x zA#LH%pP{4)Jg8ZB(y#LKfBA|4>%r5H-v>_G^H;BT0Vc-G=Q_ywhW2W4Hkv9hJiMC>`R=LZL-_lbTmb zyP+-N@zhSSb8sN42=_nDIRNt?6WwTK*4<}?{mQelMx{r)ao3gv-J`XVc!zheSXxnk zC2^khdWes=_a@Fkc)(XCu(;YDNzz2Qd%_B-?!3pwaj-YU}}Vg~8l79H+8X^x?quIq2ApfCDc zTRJ$SENZ~Vf<;9@Qhdk&w@ICEW_@o^cinD&E$!Ixq_tD}@L}B#Y{ge@q}=HKQ4TCC zfP@1#+%|RRMdb|>?=W46`-^&NF3uQi5kY-pvb;&_Id#{s9?>Nwf)G2`xhiDrWVs_- zOic9RoJD+g*su)!&6j@_TPfp%qvJ(OY^-iQ_0lnCJwMben`_?*W!O-%c8jsn6dr5%4lu5bYqp*NMV;p5 z=;Y+IS}H>2MUWtn2W^+jade(cqp4rb{vD=}Zd&!UPm@Pe|0ZT4bnKFBMDH2K#Z(ch z6*#e&^9M@iN0nEvy&9m!`*{RgRKmjulA=EFzNI5Ii&7AAJl9cQK)9x6yC|fIf2(}b z^f1dXYnlB!Bb0%?&fMv$g@IiQ8<7XxEyVb0%Sl~6HN81)|DO-~UQs3az^@~(at5T} zZ|DbO`>u_qNSb;f`^|4)bhaO^aAr&)wOJKq+6dkHQ;qTBF~na9a&1YQ8Svj@^i+6y zUczqmXKUHxni^iN`cKc>wQibs7_WOVG{gtof>!Ycg(BB|u0&e17H!JCqS)K6qPz&n za(-*vmiy?@8kPDYL!|8>WnOd~9nvctF1q-lj~|VlJD=+lyKuDd(|Xi%C1zpa74ItieOdCQi-(Q6_mr6Oro^~^L-nMuMqg|Ums zJ-f@78M*44yGN3zl?F*gMG|P+*vls;Mm(+lB_h{i1q}{t-O>sQcM;fSRDmP!;e_1y z#Kis>BJ<|WH>`~C!uFk{i~H6|x*Q@>qT+?3jJt{yd#Y=> z(60P3abW&E_q*BdoM>_TpMvzI^X>QV&Tz*I@l)bOET(tgOIcbZ&gaKH_N8Wx(%Rl> z%h#}j{*$(U?nc8#N+q`KnO^J>a;Lyj1us-DRHbdYrMfx@NVSRmbW2AW_rdaY@1Qmb zs{O=xeF293h$;g{Et6evxqmjiDcn-~UUATHx#g{t;uHEEmbU_mZz6s=pm3>n*DKm~ zJo$5{k*aXB;#8H&qs}%hoXYQ{HZLzP(>jMK{LZlG(nj%Y{BwlQ~q6JVwRkGICBcXxjHfKF7Hh)+NF zAV7a^E``F0yw;}&Ihbh>MFzd8hGdsv&*;a3;h~-#Y z1`EB#1rlzk4<9}>^++QR+a>qOi?Jdz0;;|d8B8J2jDn@X@#D401ki8$^K&aJD`}Yg z@8AX+lfY9SNmB|ugZ^UY3+ZS*9rYPcrw!M!gCWVt5AOV7w5cbSI>p~AKIQH3SpI_p zolTe33$v!9jrGStNR>bP|O^u_Ycqe*7fybOcv|JE3&q z`={EI#GIVZ_d4ED>pU?MTFXu?lJt}{Q|dOd^XYu;TRxsA@|!?x!DB)$Fd!1S(Tw9{ zRU0!dy3~+Ij1m|jB7fY?6%>MszT1u{djlh*UHDk{lkwm{pZ8=!|H6e}d}H5I?@U~X zkCk?pE(s`G3yS&#*ZEc?i|O}?E@fn}C)0YdCNb0N)4J%Xpe4klcrCW(-0>he4ekm3 zED5oT)v{&4pOxh$JG!BIZ+4*0DjcbKzb3=IWg?!Z)v#Ubc+(oyGe35ImmIoU7n&}URTy-y zCSiXOh_VkxYpiSbKG0%RNr`dc*}c1#-!Ac}EB7y8dB(KXko)(y;VO*Qqz%s0+h(OO zsJ?x2w|GiVpAC3DnZ4`h2=%3SQzd0Gud01yc2S)&sX>`?wXu#9F+xxHL$)GWC8%Bh zfSqy-J`(!l$Ll{Hk)dJp{o&MPOHrE}z7!5*I>xy% zL`d2x%gDs@4tugupNIufQ`EdFgfh(YxAXoJBQ3t9DICp>Jh2Z1O`=?__+mgIB8m7g zU;UegIAN?@q&8bGK;{iiLRR#Jo+S)e9pDPEAQZY5Wyiv3K6kzpc-#uwr~?lg1f(^S zJe(a1yTqBFiA>mFWL33|9LMa|lE>R>7%}`+A(lmAbns-X)u=-3aJg)FN$g9X=fTY>jBnHa_{R`>Z$*sSQnhe4bK z7}sgKc64(}h|0?(j+ZkK+WV*6zIkr!bhUXyXfZF1rWzF!nmm4g|D-0W z%c^}l7j*Yi>a{IXBX5#P=;+iyTIe`+Tw-^NkrQ2*J22upxVzNO*SGQsuJ98+XVHUj z((L+F8xlriK=1E8jRD3>akoENuW2*;$Ba(hA(Kbf7My-SOOu<}r}hV$6g9dmO!wYH zrAr#QwsIO{JtPUQ)7MwV!v+1zq$LK+Qd7++w%Rf^+Bm0^MW|l?O;mRVTeLyR1_n(p z`}}DTsNE$2)oNU|;&zyeUwHn5mSf(F83r>s^K2XxBlYIecOWyz$!XFy`pF12-SymQ1p^1iIw@Rz5{_`MQA!l zbXy!Ff8y*yk8%65P`yhR?yf1rC$|6o95dqFZK|e4U8!XjMzPR?H1^n}Et3$DQEU!x zt3TBOYP>kIjA#PZBZgwaka^3&K{myw*SA*+~H)u&V=9ZLX>XfF{0<*+&Nhi zuwI2`8_&dffAiL@!#InC#Kiv5qBr!tE=}}lwifk>Y~fL0MBhGStnF)W&x{K-6egu8 zk24F6y$*^S07fb+2`q7U*^CD9EV21zGU-&8@$9+_?Zp>KD=Yp_b3y@!*3wvVoP(hh ze4X>Zd#Kj9qOZri*%Ktu%?(>qoegf{9qx#Ps)w}Q%r@v zAA%O4$%gGL8Nq=nr<$fXg>_9REcooGiY5Mnq6@O_T9Tq)iwfd=uJ{QXfTYlkp{e(JmWMU3o*zHw4s@ zFw8#-!WwJtd4O}5jf{Hg`^1^XJq(}jtdC4vtcN-}MCHf?`aC@~5BQkYL(x{w`MI|zHf{j~FpRqdMF-LLF) zB#C%j*dbP6tovNWx`{_Z8MYtUrVAm%+MMCOY-E$7|Ldcn(L4TjDKY0rO~D6t(wY_H zE%GxWe_p>S647Yyo8ZZs@U}y6t{umzB%h{i`V7`|X}`q6D>ruBu`Dyb_4uW6!2QHu zZ^GCu(Q<;oT9muc(jn8b>o)ip-#R~Yb924+V!(_j=@tx|7C*LKB<;OW?~!!NRaX8% zuM9CH&nm^k73d;6xYCQ8;1dAsB=^2H1Lul2q$J{K_Bjzble zxd$*q4fLrU|Ks!nW8nys#(EGu_Z5 zf!EVa44if++qKaSd@!zUBU`K;09_vttZ<-s4o$jueE6U-alnHxJC;)x+rPVnQo-)F zdCQiay)s@B3cD$B@2vzjBWB9iX z*aDu$2J)I?ph+ZRt(;0sxA=OsrYhE_{^AB{(1)d}k(?YsY!qKKGc)5Pr^%lYUgl_> z4Yc4;rggLh-rnA)2xhhD&mN2qfI+xI=NmsPg906n`Lx0(9@qr=lou2@wHXiN`(_o3 zRIrh=V^^Uat*VzrxG)cBk6k@gK3<}VqYpDOGBDf^54M1UNgJ|^xIRlHDBVXUx@MyA zI}A8@T4%fL5@W55=667kxjbE1RaNykQgOcfNmeE(khAMm%FnkyFNEMmJ65Li@wCg^ z@G2FSpt+nJ9`xj9LPv01Ef|JctpUwOZWHe*%To>+%4?V9i(^id7kPQ2>2Df7~|q|rUeP*G7(8_a7#j@avmXL`dSta2rZh7 zbm*FY)Y*nPePL(Y#MoA$4Qt6Ain0+0%SUa7*CP4F^h3tPAGL{(6<%WVlRfKHShhnX zQQTeQwOk*)U^8ja~ENA66$4-|Y%+u(?s6zh&S^Db+09 zo_7Eo=y0+WpUn`?3iI)AF?WDLU@x&?4S{xyH=f1YACd`L2IL4*)r#i9M zigUPI-N59hu4gQiY;E-Y0=s*~1K-2w!HQjbdZr${v}*NrUP+ONq0#`N<;vCL%9nha zcyf33AR*^b&UiJ>XVi1P1-fO?gSW3W^`Bv;L(cAVqu7ziHbRvv3`YYnF{Ks__LjR0 zdoQd=S|B9%=y!#H!WgU7Z1Cyzz7`y(RvrzKT)u{B%(yd%+$3!oKnrSEdG&Bqg8&U- zWJZY+DbF7cQi|2QvNg->>jpaP(ol{=7= zh2B|o%+*Y+7lhBd!NIfwH5d)g6jeOL$5uv__49t$ai|hn$8utev3ai*$Eh1kbimL$ zRvzCyD>(BhD|*1#?5}BPyYehcP$l@A%L4?f3n9K`c=>)&Pl6|MZcAS0>?qs4z2(D* zLI@}VNmo?;LrDboJ&4jN6q$ZFdk-#lX)d1D+2h>OV~-!#C;sZ~$N2;1L+9MNb8Y&%x`!Lykikw;^(V{wL~U-C z4116z{kZBMf&WsEOGzjxo-fVq)LotSJY-tQQE13~leBMkJm1)-j5@QBrh}V|$5rZ& zTk7#%s~XHKjdsSw#I#eAmp3KXkGQ||et&pJTrqAv*Q2kC0YjRS(9bv;cbVVM^V8JS z#M@ld%YfDa%~I<5RizFWTGbg&)Q+ndy{Y*4ada-W(v1xYeQJA-znHpcv@>KYFE)_r zh7T2g#W?V=)75~--WtFK71$68ixPm}ln2D9URc#E*~7X?ACyN`q*YJF3Ed!UuzHBe zmmV zM8o@||2Pi0f-Xd-8{W<5JNen^9U|jOs4%{Sq(MdeAXNf4?h6ymgk+Ty=oiPi %P zJV3~?E|HiL&|NBdasHR>l11;Lxsy#<7@0OR18(c;*Tq4!lO{sgPsEzZNrYCW( zW1U6r#UA8!g=2)xos`}T!Zc}4E3V>YqEILee+=$!nVi=kw)or}mI2S0clm)_FQy|H zqwOcd`od=9qLHUD81H?=U<+0cActu8m^i}+Rnc~+a(DssUi*l`23}sVR_`w#+W)QE z)KieiLXTO_JLMIuWe*^eU=xLbDmqg??TI=EfT3R9NWvx@5m?W&~0c;K!)D@c;uMr6EOn3}t0>-LsSoApO@tC8t~p z?-t8{OKQ}2^ym;BF|NG&Bbm64M4FrZCpLk@f+@75#j)JIm=wyhS@$WnC`X*=B>~ur9Wveosr9DF^ z59mPax7l%f>;}UG8PbbC3j(W)*$n&w4zx8+x2aLu1w35PbmZZ8nH!Zn#6AZkYkz{q z!JWU$uZru%Mb+E3iC^79(|z$N4^B^i@CM>Dt99v{!vrBmsL`u}LYek-{KsL|8;}Us zP<39fic(CY5j2{@f3e>P*{@{d6nWXe;6d<6M}& zLI;_8nsHaB$MUDkQgXt##oml}L+f(!+mNlk8rJl*TOh<89*cRO7AojjP*;xjAcC%z?%m=NEANxUc8w!YsJ z4$0TN7Pj#r+7TA<0CgTqZec5u`~vq3(oHF7C>+FGDLp(%z3_0lOQ&ZCiG7V&E#;8?#9 zJh#WQPE=iZZG`Bz{T0+}PTc_kWFi3MdQnwIT96**$V2C+K399sUE$^bvP{?5Nh10z z*smN)-3UJ~YFD0-8_~^ewgk-pJISax^CAjD0k@1Z-@*|`PMW;f$-zOfrliDUEJr^# z_Q=trqcttctA8^9;TlsFeIoKRM$NHh!Ipa=pMsJ5Tp~8B&jQDHmXwx?UcuM4wYQ%W z-$4V~EAT9^Gb+6JrtRz3uNn9vlaCM`J#*}rqhMC9c5n{;iU?P6bLzF^W7y_PGK>v} zGnlS=D+KuCUNdfid@%MpYbE&L5yZ?2oqlz1um;eBjjlesgxecazA1JPuK8wIzfX0j4O7-0sf3u zZm`jTZJ{Y62NDAvHhiap$$t=B1+XEDMtj@qL{7PLaf&Cqt>wDF!2N7DiR=Oxk9hQm z|NDh9`=v*`bipC;>3VzLh?^#=gHkBd-KF#Sw*<s@-AG}}|LGnIKpK+j#xXg_9~X>&`q@Mck4zR4Gdwv){j?}|OA`oBnvkB=`5E0!3;iU@{|i^}Y7JprB|<*yu$ zOBpLJ4%F&8Uk|JrN||(+{j?o(BT>~eFJ+%ZtHxSk-MMBalstKN_WY=GDM_zhdN<$~ z@v?zL!U;YWA?NHIx%@Dfrg#^d=^EPBpPtZoUJcOWKZH@*5P+H}M6iyP~_ z-P|NUU0X2*0YboIhsrsc z+wbKMF?FtcwtO#1LlAmaCLULefI)xa?G7gHoOahN&%DJHNM{s_Hus)}pv~ka`n9vu z7dgH@aUP>YfDZdcF=dgFzZum-0e6Z2K}Rr|_h1SV+4wK$TNmS`oGV#fDkiUgyGm>s zcCA2H4gsGCZHL|#~ea{}f_k8-ZOAI7&n#>esk+ZkG8!qarm zu5{#Nc@S&lK$co3e%(yVRm*`)vu?!k&1FZ&6?rULqIv#`25l7@#6i0&SBwvaepWxo z5^?hJdxvQkEmGCIv2N^0D^sA(BcVoZ;fyla%0M9Jg>5PWxs(-CFRz6i^DEeqr)aPQ zg&4)ot-B7r#y5(;e7(ym!;tctzWj+lJak#RgI(~$`)(#jRZ!_wy5G{mCVn5O6+i02 zwQvXz_P!v3Q=*_vqO~Th1=JvaDcq+8YH=q$l%#jCT)8!}O@weg_2ji{yAW^)bxSw5o^ET$|kT8NJY-oJ4l=r9Q&Ye4IMh9y2P2`l6w!G1Q;D4Z;UgZUB zEu%?T9u9b>Y})nu^$De?soB(oe$Nde2^yJCs4WN7FC03WcYX9K0d1O_=OVYqXGxEq zo^ysqKDw;43#WwRil?tC5vC`s(uz0Q#op>2$#W?!m?9A87g8H>Ew{8w&7x5zM^hIi z9Oc+8n~UPZ&bn6pcB6!*)Gj)+$%io4YEZVOkj@{tg$i2v_a}8oW;%NFJ3M0FVD01o zIA?qgwJ-rIsn1JGH{rDOfigz$(6Zc)3;D)#@LBTjUYzXg>#Iy1T|6pDo?J-FoEUM1 z2~6txAxY+1aTGUbA682tw4I!`IZ?8G@csM3+blnKM+`S|1S5QF)n~rP#_7*| zSxtY1O>62M{8f7?P9UGht*LG;vdYq$voEWwt6jdVDEe)!cX2As91Ku|g#}Phss?S^ z32;<@Ep=gc@HR`Md1bsut?ca#TO-eH``_2=WmL|A=g*~%>qh(1)yfn(P1CivJn7wa z#3r_JOYlWf-38B6O)chE5`)dfW%kC|Td`prN3S9yOl;9eoCwBqvIy)Rd)j|&KzYP_SI#LLu%0x&Gv!bzP`Q>ZEZzQ(HiDuWZaq>8d?`Qor zH^=s?%ht|L{=sm0!8X-Kxb}H0Tee$`(ZqFZCku(XtT9$St@FQrMf+~CzjEbIv*u!MC7WRKBnM^?-x6-XzovS#^!?o?BLD<36M8K_~z1iM=gKe|*G5@woKVhM`Lm zcML@sNGH4&p63ozX4iE4^Na6@8-x}?R`Oygb(eWd$U)O5OqBK!w+?iJ|D;d5UgstL zN}yb=XI@hlrg6XD(|XG0^MRc*yaf=*vAVJ4PV5gdhs-?+5{N$0nvRz~a&dlIHp8yg zWwNKszF!o5nYgIas6WZYkhf*g&4s*91Ko$(RvAjCHf{8%6<2Vke(q=>+LnYp30TnO zSKIFG<5TE0V+saHeZ#l6`BFP(#>N&K01O#m?^<7EpU=mLeKWuPt+N5pLjS?dn^s=W zW?37&IReVR9F0a#S65dS-)PjX;KhynPnyJ;+r{rjfXQA%S3VMJva93J@*r0xTRbE$sk|nWj|SCP?aSwDSs|5 zWm{7AK^=i%g>!%aRyNi6gc=vWP`S3G5-#)J3M1SEW zE1)FHQrf6^YaEejvgKo(oT&U&BBMdmd#=f=1pm|Fm_&0wX{`hKf-`Z^yc5&^($+afk_JFntDTi-E-c*H&f)V}#en)>G#lw;S9AJYd?$ zAIG8^c(>zyGGZ9ImcWWf_Aj9hCQ7dsR961Yr8|Ope?j#Oq=yz557WFPW+)3{r*Ki& zAzIZ_Wjv^+_n41ybI?6lftP<2ziZbbH<6bD;NF}EFSHnocx`cV_N*e1YuYX8DVQaX zZsbqf7vy`_B0h4vs**KFT{uAN@_Q&SX!^gDbwlT(^L4soP%pl>#+&GHNVH&Wrcg!Q6d4_uIxPk|g1 zn@Fz-DWoYknHU@~AJ;Hn_ti0Ngv86gQgp#({mqx@(2M>wnz$1g9?o{@tb;*cVvgeO zI7vzQLDKb(L%t+YczaaEhZSBa%W_S~Oz`S?ndjG9K}^?wkeZy{>0e69)8F4BlXt$Ld|sv$K#k{l5>5#IdqCqnmR& zS2mb<4)3eey_tY;;ua{@HW#t-L zm&P-7elV*b4NgHJ9aJ$nq2Gl2{$kIR9yUi96w3rYJaH@65nb@Rsz3^Q%=AZtxP#>Q zw=$PBcnz}>nG9IW1iD?`&~gq#5mkwVWOrP-X}8N=HLY=BUYFX=lBG0{!xmN;V@}!z zLJLe9%3ybhKA~Uyt{8@-b~ZLPGMwSlJve+HB`}QAy~F;^yXpRl)dd;`R@Q9yOw8;- zE8`k542WD_URd~}VrgMU?CCkL9Z+jejE!9rf||P*U*;~w+24apDZr?@gSM{61*vZh zI1Ms}M|Ss^ig+VWJ>I(R#h*jX@Pk>*t#qNqygd_7vq~l7=hr>sW5k36{VB)p-P8?jLTxU@15slKziu)-_ijq$ z*{nrHG_|F)Ig9GlQP|P}Y5#t5a?jL7lAa_zdY>0m&mPAmZ_UvIo`k@d5|CXq zK!s23a@=IBJ%+s~Cr%6YgL5nSh~d?_cMe!Q680u&#m#y*mz{NOVtHn!!RqG16#|ie zdO^X~EZ5C{xS=_~P)1>LcJTsinjX`Urr>!42&E{zP+e@*MIu^5uzUt&mMZHT&BV+# zbgeFZUH|}X)5}?pv$Mw}Qb$|&2OVpFZiZ!_LCAc~-n#UaNOk!-V%w^WiOk^t2js{p zz^@2QK?!xUe`DwX54Pd}_2OU1TU0}}JFl<5;rlJHU)B}IIOEoO zBEVUtJ(eW5jwZEP*U$qJF(HqOl+wYs)IjYKXaCKW_ecVWwWA33aq$vdS`hwcBPD!&&4gB44FFM zv1PcE)g5q6^YyUH(;Q=Gr=|~YWH}{UzLaBPC=fUJN71W7CYS3`JavnyLtLxwgK343 z*|DP5Pj|5Y_NX076pTdC!+&o)STJ;c`m?El!GgAb&1w}oP>3SOVI1?sCv7-`OkO+^ zF)X@=tTAWD#>QsWTVfYSwx|t0O1%2%*3mrED!FbvNQpkl58(P6x8}Fp^-U8?e@{zs z`jIm-P6`B7LPrJ%VOk!07gir`wiE&rqQMCd)(kwTc z_CH{Us+Ogz(Sj2$^k_;iG~7EG{`YlJ(e#i8mla|ta^brd$CHjGfc(VN?bOAY9k&-2F)Z$4vfh2J8aN4zEkO3-10M}-Rv{t0yE67 z`$W_1jHIqeCpG?4vmYE!CzU)~_fHKE5CM)MFMX+8ot%v03EOd4$9X`AvLu)FP$ro( zd#%!H(Pa5PliI<4y^@-6C?z+n>_vmiYXGj+$Ox41W6?*Vi|Nj1fE+LuQo} zw$b*#KwaA%5gi4ZJQ$-6B3q0%>SU+d=rx}I5wp`=G9{QTlY~M?V5xCX-gC0Nx2jd6 z2a%%hK7RZ&TVmHG3QCciQ07R4cD1A$7wjgG-bZofQ>-Vkst{8FwSYFwoc{o{nUHw| z!?oEi%O8Qiier%T>YlnD9An@gofI%U1=4^SgsQhJ&5OkhA_>2A* zdZA}Bt^77t+Z^Jcy5tr0VrahxP~W4H);{9Zu~wcqZSE;3x^(M>yXdIPwuzH%?vM<+ zZYX|3nD((0q_weE@05HUKIa}K=0SLqSt0f$*U;0anmF%g*tC!8iml!=UKBLmOk$PJ z>e?<&*cyq1%lZY@eb@<^`JrH!kG&;bmLR9F^s5=^LcB+qhvBB^k8)VHLzP2?1=_=a zQrD5jfNSuBXu_xK1$T~#e;%NF@o)}y&%S=*U7feTTwk$?BqRQ8Sh64=CnebH&gYc+g~N9pdtxicrHmF7}%lGOgU zcb^)?h-MQN`%__61(K5;^pA+FZ`guZu<+6<)tuxx*{yr;KBy-{ng0)X)LG+A3iH`a zB7fy%jQB&a`HHzxpq}wP7i+@Y2hVq-O^!=>BjMm{_FI)LZU<^1Oq-X+AGGRlY>lJt zYH9%vXK}6=YW&FVrmN0WG_Mu69Qa}idZ&HFmb{CF=AN0Nqg97Z;<&lU#If!DzcJ_o zj8vxqP zl--)Cc7aM{jPN6l#Ne@;VYn#`&_I2`oMp-z-FU#@UI(H^(h{S_zn2j|@~lT6Dc)I=WfkqF18f*VeH+Zq4KvPHoV{8(FQW z)Kt}xU;rQ4*y5jus|NFwduSIY7^X~FEzB3K!W6{4*pCB)4=I3XjB^c6FDsQ|k9r}M zo2%Duto>E0oiF)&kXoZDZ{>W@2=SD8uDQs?i&Zus*M$>dQ%h_xdISWm=HU4L4l#j& z0!>bIm7(uz>k|Md))$M`J*?6o(LQGQ}$Yh(q_3qTUb$S~lro(RcZ1xK`oJ0iNU^w$AGM!#XeD@5?gDIWXZcGRV8MyG!pw6=;>kF)<3f= z2*iX{qQ!rRPWuNuFs!~6KmsRZSJ(0exuKp7UYA8Eu` zwSzHWaaI~FjUMP}O{n%vpimII`l@MiWJC~C3euh`p+-jH0Uh70KCSmaOPxTort*OCZ!btwV_L;w>nLXJJ4aC&OzD{S%I?fg|KuDKTl0(v?z{Bu; zoq4nQse_NP_y;Pv%b-MryD;nM&A^Rb0pj*;10IxSYEx8Ud;=Kwsesjc_6MaAp)Y3q zE&Q)$q@ov`I3nUmMPs^d)YLsocCL--l?qn{*c!LQ3}wR!^8^X&f9WL3&M&pOef!cZ zO`Dlg){x{km5evMRXhybW9=DHt~|#z8w{5o)#@ho;}7gNI!W-XJ#AWcy+Qsm8x%<- ziX8h}Q;|?E&r_Dj81qKVgZykjAC@u5v?c!gK$Jg=lUdA`)2(&J^|XnoJdFjqxS3p% z9Wd%4ibK@<54)z8T-gHvqbc=T*J>CdJAm)@J1At+te9g(L5HFk`12`wV!1ZiU(+^J zVUqGjE&0?$nlAYmc^jZWoB9o6)}n%X)nr2y?_)imi4HN?d3A<9ey*;JkkKKI={vkiqWHRyKf&;KMVS71>(xBN&3NTb|1&F@3ZP{ zkl#Ow9_Ypt))BQZmQWtKSM(1Z^sIKW=R!SMX7xG+ik(cTxmmgLL_zh5F zkJO%M=dYWUyv?~h?pq5syYEz%;|09>JEvjTl7Ux|HK%cqK`**vv4%&|Z_ zqh-x9( z`GcZzGOubUO%3#|)sbW+Dp=`JHF4C1DQO?9f?BzIkr-H6^V;|h)xG{>nY_svelG5z z!0Ds^qp}EUA1V2&@2eFdgw?%%jV$tk)~My5Jk2-bx8TK@RE;?$)`>)SX{{mu79m`! zc$URJm7;d)=H+ge)R&@^ffd?ng`2YFbJzL8KqY)F3^3joy8Gnuc)+>{wpj|XEoRGf zgc9ZpTv?bCy)L8mJ2vOI*QeNI z-|KRF_Ty3E#|U^}Cri@%RF$M8YH=-9R8H6@8!l|P1Km0sR;k7ClyIeea(&^xW=V?h zu8gZIuwX2pFDWpvw6v5PWFFjoROh*ltIAIum<>Etn|B5s19PrCt&i({n+#-Z5`)4V zudFLG@B)d=6~?jk5pHqD?8zEXLxaXQTKTWCf%|EFo;5}gJPgd6Wy}!>$kmJgELv9F z6-tB?T9^olKY_-AKQ#%D);K>tj@G&Q(dISI|jS|n-_H*{hGv8W$ zIFo;^xNf?>EQwwpKs<7HWz8z=O>N~`tmt~A7+Hrs`e#)G&eA%;%7&bUzdLoh@~?J% z>p(jXaEQywfat_=4Z3~RphC+g>j6s(pK|LS#6r~=BxoFBN~AUCth@QZ4hi}EY}ihx zZ*9w{53ag8XiOmf(F?O(g?CB(rzE@T5h!)r44ZQH23{;d3q@e6+?OrgC&fivFNkllFp3{gdL!OZFU(pszo#(p`WL__kz_e|^6Za)Ir5~K5%s_IQ8~_^U2Q(mU0ag#qa2|vf8Ey1WY-4gmOHlTZ9^RQ zqVG83Z6dLBu~SMMR^ZcmwqsWG_%mmgSa43~cxEtHO$NTdmO z(`cIFGt~R`AGm&;$tEiLev*d!Ugf|FlJa0JQGUHOBIqV&14Lf&6iK6GNvS9O5oLzT zFL_o3-8zb&8x9cXjVzWpx!0x3%xLnAe{-73;QkCi<%(-9PT#5lcu5V;85sKOuz4Ma z5n26^80-Y>ZLYea1-{*^Jg($@&X)yGg9@(YkTtWCl*05c?w4g10S9vRF&^!)hwT;J zZpL$*ne_^eZj9ZM{*!(TTbN2Q)R

u{u8ee$Veph-T7!ZV0P8 z8nttxgm>1U--XWl;nd;H5af(aGb-ZBRXI8~76>A$pT4twx+4Xqk_kQ9_~?7D2Y$H^ zCA+(^R)@@`By#VGS4{YK4ePwWhZ)g{leyGyWPMIh`keFv08sy0NXs_wJbiD z(n%hCtw5?=u39%@X>|q=!h@_xq7r3qj%0e#F2gYN1yLnmUTo^v2U36W?3` z({lcsN&t2wt<_}?+E3V+R>I~B-1l70rMRNoqXh=;89=w!c&S|H5>X^bB%z<&`~Vhs zB0D6!xYIsYFU#~CT9axd1Av4(q4(46^Lj%#RgMZ~ws=c<_M$aNu`1qYBw881sYfc%$m4LR}S`IJB38d_YG&XWS%qFTk^-JeDo*PTm z`ovhGREtO_!xYP}B3-^ON5{l0pBwa9o_pn$T-3?8sfNjxSbkVNxTiidG6>GmKU6mO z^6bTvth zD4H%aZC?Y|=O9dOck%D%oq9p5n5JXxZqSup-Kb7w*R%T&18?QrdaPec_02!`#a9Q) zhW#`Wg82Fd3R%$6u=k(RwKySxF9=K&kV%>%CB2239hk_#ibxV+0wxX+qtR>!97l{V z0i~P_P~+2`1)}VBKIh$yj1o|2gMfOe*%-bZwCe~HaP(~fUcLG- zD7(bb7}4g3kGFZj2rdSkD#&E>TrUw5D?4jxY68-0`t&I~8aTNCgu>WF)cMy}7BHcZ zBw!ZxJ#8=)?D1s;M-~d`>&uoM&7jw#OiqP*h1HU zQCY+6v@Saetd(LPu&~xXv$5IbsqYHi1WyCdyx%B=Oj?X_Q#sJ^Nx+@=v5tiQ#*~kq zp5CX|A_CQ(>YzycC)mN8OpI)6_dcII|4x{RTA-Be!#?4m| z|16<~@`voB#Y>Lr6fyOT1M|`6Dswsk55Lvx$fE^0@uE}sR3ci{AG?xVX1)-SO(|hZ z6dVXdNKl-bfCL^$lt-tqb0_f_5@s%AjIu5k4qW+16k=>ls-@+$Jqvy@T}I@n(b4R@ zJUkFQfLB(JG(sHXXCTI5h*2uM2G0nSX@j&pP;`I){uaIrKKK9SG~wtU#np>Z30;t3 zU#-AN0=M{?CydDxFkcO>7vfGPhJ0M)`h zgMFv|A8y;TtqK@-30N!H7!GGcufNPK9UT>wOK|_@xq+s587vY^!G|K2#X7FAqJkWL z03VpK16n^cHYQq(d9iVYKuZN>N1VMfgimUhRd!t_-_(Zrc`fj)K0=OzTHL*k|`vUla$|LD6>9Pd106Z>%^-fBdC>+gZt=4LsXHwqyYCO0lr*D(yQAX*Up%Q+Ri$7zq9I)zn$ukw_Vu$RJYJo8$K-b#j3*2gbYSoQ2Hcmw=~#IgsSsldP8RV7 zAhv96ZB@|gsI9}O+}yy8?4%dk4|lvuU65G91`nFoPXMi_4g44y;>%S+?Ztunqn?nB z@rE3*l|aLkI=tx1JnJepXxhiwTZVBY^Gc@g;|T?O5-Mc!1X!BQQ!IfrsRzmtSD&8x zuI^s3<@8-hYEomQp?R<)5zuddV>=>r9PiY}Riy6eF+yJh}E#;R_zJy50l-MWcKXJ7L5X4yrpP2b+&Fmk+x77<_tz z#*~&$y;XvDzo(8*WDM?}O3k3lLBJzz8AYFFyf4;5V@@XL^owy-64Z8HU_O-jb85=Z z#(!Mo#j_4YkHcS33XXt%i=Cj=fHIhJk3(D+iT84b2SdNZwpP%BXeSNb-?N|eeb>(a zh@@qliqkg<9G;%xcxDES2&rW7-vZk!FMWS{{P1%v7;-QQKKE>2TqaK!Q^AW9GoB_6 zjBM%SD>5itSggL}y02~_^n6&BggW!uTp7*4 z&bf6U_w#2k#K8u$6?RU}tG6MzE7nbTUGdG0*ALz{HqvLaoBdh&)~@{uVC-)I+9(vvQ6NX-d#40xf0oN zzagAam}+pBM(|1B@@;LHgu|DDT=aengXAYRdUuNhV1i!mf~~#<>{TymX;mx52|4Y| zcZ_}e=HtTC^j}DIKnkd zqNbu^Xs3Ze#tdN94v0G}rC;;FR=Bx})B4j|ZPTJ$1_5lhcD4bj?zlP0^_^0%rRxh#Z)2*Dd#@#)t0Ja=O$S zOmr{gs2C^BeIBm;;B15##j~L2ttCQM8fWqhrU_KD~bG)YU`i zq)rKfxl7#x?kzNT<>kSc9?xA|+sTQ)r>BTJy4D=)4j3P3insjCj86PqvmE`|@7Nv8 z;!3A<%j5#ibP?OLA*A!uK4_RiMuW}ky=#eO4K{zFrfL?V4oOO)A`P^MN%KX#kh7;k zKOq_CEsMf2talPYLmq>gZGlphxG`KMQyMb4%b;Ap3Gz-ZEv*@tJ=hAQhMM3rMs=7m zg2K>g&asB(4qYHVL}zt~nJd+mIACqNgWZU#xJVLoIbBK1yX1!tLA%W$OJua1 z*m`-#!PXX8$le1|i5Qsef8TU>0eB5O7TJD`j;4W|W7CHZD;Vx0EqHF6Jz#PEl+Dg7 z(Ah#00udLKa!Qb?0p4^DSS?^uqXz3El7RX6Y1(~B2GV5Je8|h#>ZKk&0=Kdg@G_RZ z8F3Ai=dC?s-ynYycGu5K81qig#_4)WIWYy48!)#GQILXhRtZ!K^xzwj!9ced9-M78 z5FvoIU1>zNvU(Bahg7gc#u%Mm7?t$yUf*L7dzzo~$GZ`V+yDVe!c*WQr7pYII{qSETJ~Ua_we!Kx(~pJf5JrebifFL zXT?6hfB$O^-t^6o)>*@{3y02%tJBD?)5^DRO`PDuSAi%2a!+mK)O`)q6LN%9aIEsJ zVR+*g!SAl_emNgjQq%kQ@85xb{{W<*H`&=mZyoDXM0T7(m2qB~zT-i@0_D|}j~{s; z+Br&-Q|^i!#Mb!jc%J-D6|~|RtUCR#Wkk7hArLWHuhHJl`;^_0!oaE-F=?muRh1(Nop#rFMvE?hJMCbv7#W1R<{cJTdB#U%BQsU=VW95-;b>qE zbJP9CEool(pCTx8<=~7xrzXbB0#T3$xXByC4dHf=o$~RUN9dLL`FU!{yQ2fqG$%q5 zOsxB9>YpzkEg+OFqJH>DWcC85Xa=ZK>LZ_~A&2)KoLs-Wnt*M}235xDxD+*y!3xj{ z!^wU>;iHkbn#5i|y|9x`3746*_qR{NumD1YnwmOm3|u9AnaFf42bZtodJA1OTyn1E zfoSl2{?2KzHNXyZiHM90@EH(WwgA%_Eznq=!^cYmc^*$ikvvPHTfT}MrctyA5?bMB z-`(K8_W(${7rxXJwgD*7ZCK+k!hQn`M|wCcw!o}p9bTV$XzbbKa{LDFM9cBbM=}UqJTX;{qErhUT|6j_l;E`a!N47$g?Qa!RBlS zouQT}G7~d4K7|*M1D9C|Xiy9H)F;7Ka2;P*NIXK49^O3TqxB1^LNe z_CXQ*_&X#!>1n@c_qMl!+T`y$uuCq476BYq&580P(wV+NFKEK%>5kBjrw(xCc-5jQ_FZf_r@oBxF!WW*-(=r)dQ+RU2|GRnhGt?N0Ce|Pq99QQY(RXg`}!+60lw(3Km$)P-kE3JM%8$yCAFPVLfUGFY@cgP?(hu0g1>t!{4c*5r z4WX(bWhH+}*VEHO0yNg}OP4b7%{Mw*TV=RYm5umpMvJIH1@IXxMNe;2Mbc{;8%yhg z92&g1pDDuBzQKg=@Br>l(8Qcs%8>EcLZt*wXICdCu%h@MX=y!8bB~-sla4}VN>7Z3 zhO<#5IWf_TfLXq!E;Ao!)`R}6HdcKp-_i|dnL;7$mZ|$_*(zbB0akG z^>yj*LYw)3k<^vsLyGAAdiwrGE4_+k>sxnT3ab^DmBr>KoV}~6+Ml5|aBLN9HF3l+ zhNp`P3dDY*4@AOb-yOiYRu97Xzx(^{&>j6bxFo)>eC_qqo#Rt0Oxa9Bj0Z)WUMVJK zdZxShP49b!c-7*fA_;#Jli82ZOw>bif({>zFJv!|vPZPJAgOyCcHPXWOY=#LagjuI zA?+pOxwwWm2xj8%?*<4SjwIScUv*N#{L;8j(`k5BfT2$MRRE8Yc{i^l`_1K|lhrX( zne(|5sf1{Tn1ba#yqxEjmd=jw7`tc`_pQ=Wa{A2SLew_n{=tD-1C+^UYGk1rQ_+7( z#J!vHtal&~mXtXZJa$&W&w2?3M@9&=z6Wg;v6(j`%$)o)lvycYzdZPP>Z=s@KJMgp zT3!cuUpS&0ymny^m4f0Y`{Z`2GAncwTLs0%r&V1GwLq*U9e`@vcyUxAS7Qg>whsX_ z7i?rXAD>y5;7(<_$i}_*aWU)!{el$a?B$h7Jj-v!&?QdkIJ7RU*LzQ;9=@4#ZkF3*|-ZV$9TpaGDkRe|vx z0MGjjw(E-Y-9*GsTJspFsa^zoZ$A zRh!F?PaY6?Lkd!EUESX`&@qH13`;+ed!l-j4y#xn)bqZe;J;CA3+A(^P4L$hu^X>g z9L7`_p~6e+p|RzNXBB(wxe5I48c-?og00PZNUMpty>ywu5z(dgmAr(e$2vM5n*L%% zwL+AXl;257NVXWk6S$k(#@4psb5W74Dy$19C=J6PKxq@6bpl9s`LH7MEB4aT(qzFT z=@l@4&fr}>8Pmsu?lp5|YwHZ-(rZ{{&q7{XP*%2G2d22!VVh0^nrbiXZRn>U<#vMA zFxcvTnU|e?1vDw=@P=nT9~bi8ylJztwpPbNL(DU<54H}2MISzRzK1a09uq##LPHNA zI|)Jq)B_Dr<#p-2{*$BKMfIHfDkf1{kX*$@ARDUjR}qeD5;314c*Ef(Y!f9#MMW88 z!*rml#5Osi`U523aHtKkK+9?*M=-8jbmbxhpuJ`98P?=<^WdXF{Wz)@*OvN)VW~Lj6sRnn#_E3<4Vx+?RhJvQrL}9_w9xbw5BGLcZ&vgO?WU92Mvk zm3`4lQxETNqX=kPF7P3Tzyas;a$?ioGOF1Emc@xzA{6d*;aOk|#-H;3SU9=L=TLi%JKbg3p_id6%A z&XqHC!5w38c%>;t9p7h-_iE>hf_MxLb;6 z_@T7}FD>g3><5qsYXyQRN2JK7Tzu_LLO(~x?R|KfLYL!{y1AMfaZypq@<#JlelV;=~DEZ4JzFX)~(N+}_VKc#L7IWUL$#pv|Vg=KK5lsbyv5m|lx-kDV& z1`>n3i|qBkuVD%n<1N8(jiAjCn5$X((e{d~axf~7DrY1L9^#5HgCMT*#tfJI)zv)Tc3T7gc{TeIomINTgoyrmOOQ*w~3p>p^nwkc39F2w}pK>lTFQ|+Z zQOI46Dv!xkfMXW_6p}sweSda4qiJf>9rd-ML4fY9gAPHk<4uGSYR|!HkR!qjI~IJC z*}9w-u%ht!GRXwM^Kb`d3mOs(0T!NWR1$-a!1~Z!e3;=^D@_ha?-y$5)v4_Wm>Hhr z(kcB)UX*plk@i)lF*g%P6@m~rNa1)@!Q~LoVyz8EpQRP^lO z36w{W`;$=ec3`Sw*p{+X^P#thvWlXIV43)+a{AY{@Z-e|^f$!#ItgjbQ!xRy_lRCv zr)iR1;OlIXBcS!xhIGGWQF~*BIQy?~oGqB2@{7X5cd?rf=~wmwhi&_CA&zn@DlhgM zRy?-kCM$!t48G-^a#T<1)-2|+EeC$+b>Lbz2vS6^;!mG61&9~2j@+b(AYRH@SwW$D z4n72aW(%|?r|>PGl)PFreAg3&xB=Z7N7HXd%UO*0Wrk#-FJU@06CHad-fnIolgr-; z`5*EFQV0q*)gsqg15V~Ifs4+ih!0@-y zFA-PbOpKs={;k((^7}~zS76OA7+fuY4h4;Z2P(KMm37U4=LZx}w6v4zjC!(*H9}^2tE@kyNg$jGSB; zqHiOnYrG0UZ({sQMqQFTgQqdM2svbRv7G$lP?VTv$g z_aOy0r@=&))zQf@qWTbxGytHGU6;HBU<}Kcn3Pn9MXWb37Htl^Ql8}XL5#e?Vo=t@`J*0QBZU?M0k*wRv-1_d|g)_x=Fp0V>{}!`N?miII)Y&=g zJ(#TN%%5%9!KO?NTnLOOxw0dfg{{CEjXU+vWhJtLlco?HM(ChghQ!oZ<1=f_s(Q^t zFoTBi7xb?I{LPb3tT_|0nSVX6by;?fDhHUfs>w)8OIU>bWdjggGvQD}t1GHe$(7S3 zu|FRF_U*L><>DYnMg4Apt{pWze65Wz*E@b<(|t$c{27pRdp6x2SVLVspgba%{fPCp zs!H2D>a;jJ+pM%Zo~;=U-0J{GLTcqPq^0n6KIhsFC3BFGX;s}C(Ia3c&;3*jI1o1+ zZDjE5+&6C?!@^w`gt;)C;k1^nE&+m^OU82v;_@QFQgntd3Yz%6C|bch)WVLft+n;e zi|ny7uogass;C*$nKm-Mytf4hQcS_epK!2GEbMIj%FGB`J~D=vXjlB#l*C2DJ#xkIb?7*WnuQhvIPVK7=D+0rjW=4`Ui#_}PK@2e7b8b$~XI~4%ZHr*K zV1Mm(%``xN^X~Q4uma@aXgGx%kP$NPk%6Z!KmECBcS10`@Al{Cy5SmabMujgho^4# zT!-cO)n{WNbju%Ts;NmWkn6Ru$%M2g<8(Qpe;z-TUrhG93fU_6)K7A((^_pxYM*>* zY=>q;A5QlsC4$;Z?t~R^Lt4Y3+`J@OlDzB{#=p;Ih{`tr6kW2+pj#heUaJw4?Yj+% z9Da3qdH1V(p+TIm0lVUaWv^1}v}XU~&1$ur1S9xq9OCv+3H!l4kt;D+4ei29jk>px z!@L4dk%d#kW))F=@aNA-1*B>UFHe$HeLnJ({d$V*(%O|61QlKu{I2{5>A#V7KJnv+ zFvx1CP4&W!S2i}%*|Xyv%~D6wd|cBk|< z8W3l1)I4PWo-7^11)z;S%q4aJY7SrteTwXQ37)u_Oa$R-SyGZ05wLOo?S_F7@3l|~ z&ImJ*8b|`fhHBpFq+{z(7poMUpl1G+3iYQ~RJo2z7gMOj#Q3-vY0Fx=ivxU&{k`=b zOrb(*T-5`VRPj1gp(B>sBko-gpf3n2KLS7G=pG*$$1 zkR>3UH+-Q+dQsC5tl2%yA)KN*uKT?)*#&S>$AlFd$bR(_Tm6kNX>Dm~;gw(*91?_V zi24Gk9bGe*^$D1-gvN4aE@y#M>&+Q#WD;p3`!?NdB%-t1M+^6y{+V<{&8rq=IP*4| znoQltF-kOPqhT;F6iuLZngwlqnsWIZ$9L!K8l4S6+OESAmuyUhD7t|sqdipaMQ>EQ zY;3&Fz6Bt4l`dCR6=*(Roctb)e85ss1h$j&n-kUN;Gem*e6&bHl7;L3vjS^G2-TM^ z=8{ro9zs*L4!*$7 z%7BZ5d&_slxbIL7loAE=C4^7T6$b5kuVJ1m7-xFSwoQnZM?$Z2k-FY-ZSERp#KoUJ zGz|L13spWND>3}DE=nI4U`=8k+lw0d`Zj5Kv`|7hfiXiidk;}IdlPGfnIQ9fNNG9e zf^azI=8X2_$m>J&NMzF)U#}d!J$4O2r>5iAm6lZbNCI4~lRpAiM2r_&Pi!U+`>$~F zoF);=T?Ed|Q}=Cs8;<_g39ef_i+DTzde_>;Iu zR^`i1zZJ-3scW`(9qOHSKQm1F7F)4DNMCc_e|uhfhu~-lZDT@=JDOkSLNqybl*m!MD?SGj<>AaVMi=y}j zqVKvEXdgrcEgWSGPFRla9>{7)kXcyDr$X6@Fe^r4YgYK% z^2jPm1rVR_qqoMO~Jc% zLxZ3mKT@2WEMMHP1zdQ?_twREb4vFNk&Yi3aj*Ea3w=8II77hF5!ufx6_}iSj*~r) zH781tP0W3z>r2jHEAz4uiDbrChpH~JX!))sw+DZ!(4OvnjRheGW2x+_? z;eTJ{MMcEgX-qH^$Adp^Z~Yb?^O1f1NS$*aeU%u&`(ni*fYFe#0asS^LzpFv@G2kQ z+S7M~2BHTiaSh8b{+4Gcm)M&&D zkymO=WMe7C)sAzr;DQUDL4Mx0BOx!ZYAks&c_1Q4Thsf;M zjR5c&L*y|LOz<=v&R3ZxVnLnVedETB4k*zc0~#Af)`ocd?wzR_umZ&bOfsu1;luiFAC=(vr%UXPsIE&9P}_51fzh~O?RCyX61AAV+Wwv1V8Ym{=*l` zTm;xcD(3KlN{w9lg;P(C(<8IlA@Ki=h$eExvUAr+;<+;&$M zNJ825N7vQR^QtX7*Q%P%h^IYmFHZk;pe&WHASjh=AS;!uAh1E7iex&R&`*1Q!pbWN zqGlnB4u5C4JuuR{vqW*ZVSiiplbtS+k+V#!!HV~}3g#ag_GVZcPVZ3`=<<5XT$uB0 zXkOZ(Uyx`Ry4oc*D(Q$n?f((?J0H_mobvjR zBML>Meb!>{l5hlaXG7NK#THK4ubk?XY;EK*9eLJLkYWEZI5=1f=Aw7y0aNDe9E$+H zC^q7`=_ISdFlS6xr6P=}O>ke_Kz2<*Xa%Q2oc3y+zv*;>R2(LiBz>U<)nJ7F=L_TB zYgKTSa&mDQ0AZq{XJMyk*zJ@?HyGUqBOR^KSgnL%HYyl=_UuC<=ObzSyYL zBe8e!u^mmPI5ZOd0ArX}x`7E32f~x4g%eRoM@J{$-h>WjD(aCURfr=AJ3S#_jv)#z z8`%XK2mo1rV3UlE$g>7#AM3=4O`}})9w)I~eQ;sLJR{bJ{>*bxU?8MJ!1icF_Mt7X zf&!qQIyV5M^dK%S4q*RFA*?bc* z?1iJ)Ed<$#)Hh!6*r2Q4>)Lsc@9+CNsB7DK_y#T&XF}Ku9{lmhZr+ouY3PWhPd8AkhLxe`2_-NIDKI6%O_wa0@NXA2DryYimP+lkAT0Zs4Ke*+_kpr3p=7471hsK zE5m`#r6K!O5L!Yt2rqtBR)PbirwtSiSun@9cK#dY%mGycysz|#}gObqFxCKDKNb*RRe4EpxraC1AN9~=R zo#h`NA7`nukZ%Gp88ek}Mjq%YDJd}{fX1f0pA9buugmF; zDYvKctXI>pKZC=PRLs3=JCx=dGXOFR2b}q)^1Evaq+T#5jw$YB!cIBDbR^n0^dz;KFU*mjb*#BwdK!A z6}jme1CyYvYoU`k2;ejZ3aJP>2u5lFRF%O9Z~5dxn#0_KA(a2ur6&FXK5 z^gPZ)0U%gq_BT>vK2QG6q|KDQ<^NksJ4F@$iv32D>C=y}==GyVB7$0q5ee9SAp;__ z)UV#CETI7?QedPS-Z=DNtw$;>;qP0OzS}L(}^0YO*&(_+oM(&EoFyx=kB}O zdSA(SV|;g_^Ye>?PeH$4JZ;k>!V00+-+>$7?DUaRxzi7vmFWtG1`|DtN}p?@Mt3Tj ziR|b`->#pEv|haSN0|^^>W6eFwQcp`m5xw$c6<;;VRWa+NBl8Le``N(UM{OlqWe@!8W^T&JhRWY~%-f2y0pxz{s0#Rp4T{^lo}?ta7{I+~_Klv7bSQ`6Gq z@~P106@>icy_qE(rA`*z^}W(+fo5j!pO2&A@M=xuO{N~gpCV1c+@&RcwX??NqWf!psi{N#01|%cIW7~?c{~c--RwEXh>$LK9V7m+P^gfjdJwhviLYKlyHV-_qaC_f081!17}3EXV0 z0ooX;ESd8mG~aOiRw2=Fl^9PA7=8KRUU)}>!8k!N_aPh)Kyj1iB7J2(WiKL-N_<5Q zxbz=^_H}>dP-0Q*6l|8rVWce~-m_Hr@%0T=VfFFP#bre6D z?EUf0fDRf^y+3A+hgbMO8GSK|UzXqq92Q_!>X$G)B4R)32~)w_!jy#cN!=E#mu3Bv ziqX4A8u0$IX$7AsUk{y}b*?oDkXpmM2O^#tlgGeO!bJd_=>UYF=mk;x1}1_9Idx<^ zP2uGW%yT=Q7;0}4IDmDh4lsjo#tjDD?@xSSqHy4-IyH#93|_3Qb8o^r9lu~@(IacctCgw z>2yWWNa*aIJY5;*Z~2BXXNpYY-}<&br{nKhOyNiq#X?IoA`9CBz7 MvE{wd|8j- z`V!e6&;frZxvLbi08$DW?Qd19S0otEaE$zBZ+&vmjii2a9-*_dx_GGXKgJF~igMKiI(J(4GX)22Pje~4<>4?#VuR;9!p8}c~?MH@8 zpIQfEX&uuCapeDPfyTGzso^n{AR#D4aOXEc15F5xbkzoklg=W+bxJzo8{<2hEo`|7u! zNIRw@o~@bWiM7$dGG&M=zv=DmjTada!3yR!0+4r?XuP}tSxjs&t@0Zbxpm7Z!x#*S zRsDXwmd~_<=Hp@#!MP30@Vnf@?*li^00sz~P{K`!MX!TdlFiZYMF$8Dd3xX9_`0Jr zoFswNAPGY#p!OI&fhAV5u4LC*DO}H+LFSyoL|_tShd-2wLXm~WQW)}3v*$uxi4W}K ztFO+Cp<-hOlAfueHFirNS8wr=ois^k?1J6pQ&`1xRq7beEwd>4*lr6Gn)}F6?AGow z!{Up~_`r1P94oBdv{j8|xd?4s%c8U00Pp0ZiiH;PnY+{2z`52z?bWWjKCZ;wb#l*$ zcQeEf)T#=r(WUIT2_J-_t6}pXRb??om(cQCS=4}1j^_C{Jzd?y)}aH|Ss5z|z1QPnfiQJXII zU(J`0}Zo%@9OzGScVRJl{CO_Qizu3?)-Lm3L zVqWNV=iFB=s-0&nF%UTiS$e@NcU?I!J(q2Ok8n??YG(>gOvuK5+tBdX_68x%D$5-L zgUoB5yu?uW8!V{Y-Ci zlB_fO4ZrPfAZ4#ZQW^AhcSk(~^>{X`S^^fz@rXYK1b)LuhWvKImyNyhrB1)xYp<0oyy*J{Eu(1`cMvB)O?=l1)Zko1Vb?T!y5GzD7J(0%&oUXC-c4 z=IAg4))C%M={pBWd$2Zg@{v%H$`tVbIe9Kqp*}kmOZh`;l8mL-1&ZxB``8_HBl&98`xH@q-BmA|Pe%D8d3GxR|m~Y(yX3Td_ ziA=BpYXilv@6);Wm6a~M2=h%F1IdOx>hOPoO|SPf(J7qs9y)bS^O~2_uxzKFWPc9N zZg?>}>|*Fh?W~&ixs)h0kpH=B5h+;2T+tvL~mF#k@Ci_>q* z=1zs=fx8`tQ1(!mB+L)FG{2(Yt-aj!eW%33l(=r#jY2#g3x?R(c z)H=Tj`Um>Oh?C0yFo*;s4Ubpxso%0C6ngkZU&nV<36$FdlN3$PA3bw4n8iaH`p{2y zBr+kx&os#=4<6u|N*qws9?<3MT_;!n*nu0<$C zn7BliZT3^QyddOQ>XDdOA!zwD9V^GL6X1i?8pTyV(V7(M${r z8psCH`Rs(~9nTWh&<1jnaARmqJh+XUPSim0CdS%4RZ=q>Ok|cYd<10WM6gK8w;G&* z0QkiUE;V52cnh&%yQOPf1>Yu%vVCLTmt6}vP||2Yb+Qk2p1dIn2UZedyV%zF2yO}- zpj7n`Fqh(Z_ExzD=%^=LQ(WamD3=+wpm16?t7nYbXx>o9bYabo!T5#MXAPCXwd)P4v)3;m9k3n|6wcuN0I#gsZhTtdFaXJ( zdkpUTs;u#E6G+x0$*y6=+A%j-!dZI7zS_q@_N#a2|Do$oprQW%KX7!cAvBek%KAwm zd#Pk!Qc?DV>`79x?|X-Bs-o{y!Q4034botyy&&s|N<$8 z*;jv`%KBZGSYZCp#C0pAJ(VS9=bIOdjy+c-8tru-QZZ1qM-lnyf}aJAcrqB8$4x?w z{PEDC+2C7kOM^l2(aLUF-K)d*!*c6sX}{f%rDfQndgf{EdPs_1VB&>6*4yOiDBa*I zH|l#oZs7Qe-Xk&39DUZuNa@&By|am94TD?KXhnC0wCL0Jn9!)>$ovErTvrB_}HEf^|*U4%g`)-81<2GwD}< zxLN zpzQmRxmh^$gI03aqKzc`anyyX&KGp;2X;w)K*Hhk-ze2e16@4dr4hWctZN^7soUUo z6)w5Y@UJPd#(hzRc3vih8}6w_k>VP^N$U4tLj%*sHb|`5cI~37I}=^#>27w)%F0R& zjpnI;&6w(ns zrVYCG;l`L(H#eJl15@`S1#E9@Q#-Kj_T)^)gq94TJdPZ@cD;n`aWlb!r?a>xnRu_n zYi5xfg60WGIL37}KqyjD@m#Mv9OdwK>u1I9zi-yoV`mPx z++y8vFGO{nWnt^%OY^S@Pc7r>-#?T59ZGiov7hYpHToJ~7PrpTi0Mi0sULslJ{=aC z*yHlo#YAPWlJ#Q6o^)%nO!daE|EgcjU(Su(U~Ct86qUC$RJ5-m(0F>PD&&TfoV0mR zUdGFbeY8bYg#FeBdV)Cxc>;;Fy7WzC%jo*A3s0_vuXB1U*OxbFy+fqrO~zAc|NHA7 zn-=qdaZAXauqhSCsZ+Lb=~uo=1Ef&SqUtfbRaCfYvUGPuISc)n|9#eoln?JZ(MyFg%IsnJ&RDh&HVt1&`h;Cqf(hdBQlS^0g&@!Q<0222k= z*zTbUQ`l6FdtTM?J0*l^*!w3zT32>g-6dt!ouK?o7SvJzk%cJdY9&|0lqio^XW zudA=`idfunEY~AAP0|I~4>vkcEOWoE{fqlT)9+AbIeu(tR30JKb83<4{cp)~7^Lrk zIwA1BMbns$E}OE@z{Au0tgVCLC~e9h|!FKRo}RyH(UgqF3T^^^s1u{`Zp z>z|H~D`&2rfd)k3Zu=ifS*hJyiV@VN)b;VUl+|y`3U?V~e1rmOBqFYj3ph=+IWaSwK74PvG1+};&A9&IuE}U4@wmC^Dbkfq0u7gm#HS6a z9sXSBH|%4!BuosZ(i{;jWH2$xzPU?qw>;I&r;CLm(+zqlg;vUKCxeO9^>dWQC)Z(R z@6p;>qRi2nNM&CwADIgMq!RI+Dhw~O_T4Ap95E;I2|4`AqRowSOTYeoki$oY(L7G) zbvxU`OoceHR;dN6D3<7?;r$$}GEcd~HPb>=+iN((Q+uhZ7GzU{p%^&=sMOKEBuO9I+FEn?`aOuGrR1@WLm+9IG#4oR#R zH9HWl(eH3f7M-p{W3S4SPmxdyypETrj3m1*x2F!oe!tOX9=u>yTnU=eF7Uw%o467* zGBEo;M=fu=xex}E-vY%l-eXFpF*g_OG&^ud-z6S^APN@(7)z+vUf4<>fe3vT7v*-;qg;+{`s zQ=5aLakM#C&uM^^L86Cj#Ozrae_HBJ1i8+swVKxSKfdsPk1u2#H-PAKxX&?$Fga~(rb?S(P%5;R{nqj|k7z<0GU zRzA!2u5~Y9M>b}99#;C0gutGQDFi2B+MC?Lc>!Tf7GIp2YV7*iG_3}?)YoR?X)Cw9 z7-gE7ReU3MGm%R&MRzA>`^bykT|a)d1cdCgZG9I}JoY0rvG%R=o3!C)J;x|xqWbsD zTc>6J`})=D%I-6A0l{)VgMZd9mRY>b)vDpE21V*MM5SFx#0 z9CYFzPP4l0>+GN>=tK#B7t0Gx)w;m$ezD?LLUa$u&e#?9MfqttLAnfpVXtiJK7CP* z;#8HOm%ksJsx`*Rc;?a0iy04EDjQun1&rPHYj9pv}4CL{#tQ}xus+o80a2iz+GJ`-A<$!ml-G+s(?sjbk zyN~%>gq1jM+VHJoLPdg%c}S7Oyde#Z#1EH>jmul-w{LoZx&-p%dAp?26^h^=VbNT$ zw9&`%xMrocp86Aq5QDck)NpThc<3|oAyDd@*%X%}D5Qv^Mr`>L(D5v5@=bq{S6K63 zzPvqAsQ_D?&vRa;GzBd$MtrIHE(Nv-M@_M@eUxhT2Mz$gmmr&$KRKJ^F<@g*CNBQK zVClqvH`e^W7vzzN7rni%bHDjh(*#>$CcASq43~WTss((WL>7G{U>o zdXC*ajTGY%d4dRunR^fThapFn)Xnn~Y@@HYUOJTGp%~tMV{-807eosxA?P8$Sf~^LZa|R_^(h)F#n@{_ALf~D$Eb-5*7XEmJ z!ZZxo&l#+zh_nb0RT`Os3)1?g@*dcD-yE8$JyP5H+y)o+sC~RjQ#URgeV2Tbv%X-) zgU~xjdVM6?@>dbm6*7w2vjHYX)T+QwKx&*0m{30=SDadJx9&;fACHnVsc+A9a96Xn{q4}?ZT0NqqR zFr9Y#-nf`J``Je~DKxyVsI<%A)ye)shsr096 zCy!7~B2`QX`doFkcLvBGRteZkkelzq18nBJJ5mq648JzM+!<_v(7QEj)h;$2MWveQdb-phTR5QIv z%R4vM&f_y%mDB$)Ae}abhDo0GNC&@H|69f#OE<066w4NGR83Y7$)=5mwFSx)IZQ_D zN?e{gCh*ASdn=QwinNzTj)>$#EtM`kKoEg!=VR2A-wg_K9${DeHllmz@{SYlXc`s9 zx3Ltmv-~p|Dh_FpJgYFLGU+}XA()p$aI|}1Gsp90J%r=!Bj4nbMH8`c*|beJBj0~! z;E)k48;XS=KmYMK4E3izUu8eKPLj>}HkXrp?+<3Cx(XfOQsnw7r7S%NPdZZKPn~n? zT*TRQX61WtqB^bCP4*P^?!B-F5Zt&L>B63O){F0S59g_khK4+`{W9#u9XdW0=a_W= za_e_tHPBY`(L8HoMIV#W%mJobyK#%5*Y_-iWCMyFhga$nO?e^aq-BpE5C4W?iiF)4 ze)==jNWnb2iGq^8$R_b@amIqcbnZj4t5@~fzq6Vo&=bT=V1-s4|NX;fg^*p(v4i7 z28m8=J3YWMK4APk3O#%tqH-3DpYFi=KWFg3-qSmNwWpf$vv}N}?}sm^30*a+*fo6I*&Ev@FeR}CDKXoSg^130@%9a2l6 zAS^%+1nu0E8iTRIy(9gwZtEPGGkr241M37iSa#7AXv=Ze9^#JOmYpqgllaA@)7W-& z{~3DR42}rxJN)JMEBJIf@PmF#TYv z1CL{pBerQAlU?kE9Z-YVzV<3UMGP8eU}j<~KYs3CKei~)74nBT5T>bF zNptQE-^syFa$h2-<^#&N*YG%~f560yu9JlzCURRp<_sueMj&9w4 zN8inXA_Y(X*`xPp%qT!mLVW9~@v3~)JAXM%8I*IYc2Qn=COlc9d!5g6$VBwGw439W zDh1BLX=_zNqeOQh3m#A(?x+x(5Wm3%+CY%*&YKUcB^U4LTmISouoAoo6=`BUi<(*2 zVWHLD+%+cz+FH1iID9P2MTG2pw*>}F3FvMZ!ciaE$h_3q!SCjfP? z3s;p8RBw&`a1gZz_w2~6lTTMM!>X|&;f7OWxv6r7JmGi2D|K5!LW1FWLqi@L*fDH> zTboS$p_!rAGj)9lE(|{Q(wrOGSXQtfS{Vwxqu8tbL44Gcy5&MZTupx9UP3h9ZXh%XFDGPhAie|KTheb^PhO)oilTjP zU}zXZ{QKebQu0W77X01_pCn;nwf*I6RWOn!pgDS4UcRiQrh7gsyON@e#cx3EWp*|K zWqf0hrA1}=E^?Pz=$$SD1GDitFLPMu_}V4WtLe4@8_sVos%EWYEMPZWqv*EwhEXXK zbxcjyY9O$d6$W+9%-DEk1_4%^$&!mXV7yBDatm}1aywNGY_`>G!`tKv+DabZ@Sanv zwv+bL)HPVx1L4kb;B%NfMFCz1P1F(pPJk0D_RYPf*?jjJj2Z{DR#g-j(G{bW*M z5X?A+RKpc;UTY|!?Z|cuB;G!`sVYCnnK2n5RD#AbjT zzf$b@o3L6a#@fAX9FJ;l#&T&tup%M-ISEQRYg}a`ApQ&t>dq6~ zf4e1RW&0Sx-N)YnyP{LBNXt+sNF!g2@sxoM_V)dp5hn(534vD8W?2(5Ff5WsyOrG6 ziHa>J`%gvlrF)3BbH=lRv-O@5lYASQXeS#a0vt&6Cv=&?Eg5m?L)0cXv7D9v1mjQ_g!#$6kjz$|hw0xEFblGp43H(@J*^7#|uCpt(kpc;l zzYmR2Ai+*|ZPh!w9#)27{A0Ox*A|oB(=3Z%>^Z!1JrfXR@Lh3uWtL~ww83$AY){#g#Kve6w2)?qqA ztYGBDdM5V85$H74(goYt)tu>qp5k^y=DploQeX4ct1~^Co^EcrFtTY`6Q=)*4GwTOT9zsH3k;|9*ARgu-MZq{WBS?MLGrs zY7nv6L|(n|%`i}eeQ(lzyQKXDbL4yG;F1c)LBsDPTq#Uu&(zEM#@QswGdMy#+wtmK z=$$Pd|L(5h-n`vcdfm4YvT-o1o*&U{!PF@RFZQ6&X!66tM3*)hYz6|>qA(mAq3sFO zgiic89Pu#a%E85*fod8-VM|fLyw&!eQ{0@KALH|Ub8~lpc_6g^?qQ4g zns%^2M*CMkefw4trYG~mc8y%OlJ$>iWNCHVu=j>$hm?C`cPN%#?C7Dtb}zEB?xK+$ zp7UB%=igC_b`27gOY!p#2+dJJse^L+`*~)xi$34x!u1f@}vZ zqebuVX9=cgIl>OIYUB6ww4>Nm`_FrLA@WDOfN0+2~Vh&W=rrVyZ}n-20ykmONG1VFxOe*pw26MXN9A9G$Na@=Xl zbu`=ftxVeGx!Z?E=9a^O!|vQ%X%C!!^#|Slf9CneP*@EtA`iaiq@Ngqs8gCo5|d8z$HR6ZX>tYX z%b>1_^-e&(e~}ioUB4EY=b|`sX7B_v_>R@qt!cZ^Fvjjyt^WSkm9g#70UGty)dw_E zJC}QEcX(aDf1@KN&Z+<0cI$)EN(64BtnY)ihnE-12BfD2x1a8r;t$?dN-C$AWc;68 zu2|1}G>R!)JNlQ)NKFDy!@uVjL?({jun}$BkU2!JdgjT+wKvj}Yr@J5%7GJAUMtfk zk8SUfJePkzbh*kh@5YpV=G_M@pIiWK< z{pS=IUpBm)u{7FStw2{%(vGbbHwCB0cT^7=0cTKG_KE)!W6Eg^Ns@VCHJmX@mi)A# z5Sb(J<9$><6(4Q2;6?J*ZC2zn+qR9mB%BjJ!0An2J?d*U5aOxiR^jY6wQX1|kM=3s zwV9P|m1VD4l-+K7Icn|;sn;EG`uT2X3ssNZ8|yB#r=f;C)m$mboi`FpJBR2B7t?ZX z+Prw=#c-0xNrXRPZ&*BJI$rCa-z^V65GZVa(jl=hdFF|2*xni1p6ue$2LifBR!(y| zzxihQ^=*si=1$b1)Z!mHCwpSbc3u6Yv$e(P)#fPzjk*25ofqY=KlEA5=$?2y5+;v` z;5+J#1wOxr?)oX#t44d%`mHSD^d3S zAXkT%URcZjPBEOc$W%gf>#1Am%ZExn%5Q;mCgr>q&5b&7@6^qkybO8=?neNrB8q%J z$82c;f%Z=$k@zQZ+@QCGtDT=;@$+QgwaYB2`4V=ic1oxp=i&zW_TFQ!bF=?gpHZAL$F zk)jwZpp!eSd8WJPqIbA7fBfE(cq#_o_kS3+cTnk`zq4mjt-6dWES}rN-;={o>5i#t zr=to3t+Da}g&-qsVG23YVdgKJvR;kqd0Q2R=t*}1@lwLB@BW5qyHqT%{(driIX(A6 zyxD5IinaiV1@+|4`kV6f;uXXh2g);ZHmQo{1(n%L&AZiWtelj-CAKTn$bWZY+(P2O zx0;frr?ySYUPRJ`4@IWq^NwovOTjx&JiO4mNPOR^T`%P}8%(Qw-s81mXx;l~nAJY0 z9kjWwj#=t8**9W&Aw=rWqx7VZ_|pI0Z7sU^PV7BTh~%$Cep1HpRL!L9H|OQIP(0q> z$r?Tb)%5EEtbsBLG!Lz>ZzJ4(PEq`&|IF~P`}qr)ig8G3Mj7%719z#pmbt!CBx~=c!p z@+M-gU?f ztD@r(rX2aGI85V5Bf_lEZK@DPtVT!s_tp)1=-jqi`ZL?GjRTjYStK$f8hNoKZ-O`U z9LhAw0@KO^5$Q&8TF-?t+>F}VJG2!CxEN@s@7Ppecye(y+}56#DA@XP>E<1)7ui4N znsNrpJM)Jh(x{zda}}I-l6I1dz2?Vs($H1*ZAAkIjWx<%F-J+$)bzXC93@Y@vo_J% z&CuRAekLqRu95e&Sb6n+C-2BNvAk_mO6|{hv&`de5AYb=XH^}2tEzM8@_)3(yT_8+ zCV8E!f2#a8Uwz^-q$+uJNL9D8D?o>-WwPdr?4Ju=y3`3}r0Uu2>Fw-yI!&XLmbrd# z5-xdCnGWuF|0kwAGTJ!UL^Mz7+p`88N#}!K(_LDAXupqnZSTxVxc8%Hy=H-J+uo6p zH@ubovJ2uL4xZHq1@q@Evc3PdxZp2*k~KR&{?Qi_ED3JyasL@x&f0T5e1!L{%(!S_ z@X&23dcHdYH#^5qz2fi$wSoGQX#39&`u=5}Q}LsUadB~SX6MhB_Q6K)kKJm`+!>qN z^+nkNqz`;M)mriD)x4dWZt4&3*CMsC`G?>)1D)B$=M&9}u;*Qn;=2?O;Jaljq*T!i z*7oAEqbjSIDon{8RgM3~fr{rhDneDWE}mBtyZTnksm?sBJteeWYDLmv8-2*K_vqS= z_#+jeM3O)6hNugUg6eU%`I5O)g=rVi#2mZc{hzP6 zb-&)%*iE{e(M)2e6T&zqczmVFz+gatJ67=;W)pJlGe@l@7lKSdNEhpDR z!guX136(r=wY8_y9?ho?Z0eHO)Dp!b(@C*SGtc8ZTsgNi8XeE2i(^tb{RAznrmLWj zou+0hyf=UGsp*bwSGl8+5ii+DKQ$p5;+~dGt32#(_B`}XjJ*kD^qW7M?;B#2Fu~lQ zbnroCvR*b>4%Qq-7h`36wLB$3n-2S83|FBB;e!Xyd)ExMz})FTiq?>|xEC9Y&*E^S zD-(3;%-(*lKWwx1Pxhe0>&DxGYjwA41KMwo#1d~j(JpD=h$!Lc>zS z@vqMIjQC_PE$+W}A7@g5R@nZ`@{*p!tB+d#HdbFlpJcrBNn~RdWx4uGwj#u&>n@k? z&(|)^Dc4?~{%FqAIxW;LdU*bcx4vv(Wy$71rD282P;X8xd7U$0Qm!%e;?#roiP-7t zO~tKGGu>xA?pG<82pIOyG`ll@JHj$iT$pTIAmC2n*_6KW^zcv^L|XIREqwe{Y5&fI zNRDYe@6bkHF(p;oPw^UFK* zlmkl6Wl7lwCClHfnjK=F+~xTq)4QfTETGTiOUks7(yV%wm1XA~fK-H*jZKosn+l2n zqmjY4A%@@}NVU?Sw$i7nwiiF>MHr40&LdJ?>T9%$_}Ppq!5b<~({_^Tp~N+PsXg*6 zb@V2JzOIeuNY=2IB`z)o0X8x~h2K}1w+|mHFr0S!*(ah7@&)|~mk4548E;bgwt=t)=nUVi7sF%XL~?tfG0q}< z`Mrd&MzIEz&^EZfSIK8;rv;pZ;#%my7YoM`6HgkDYSvBfP=Pyv{l5c(k)@{IaH$CM!YvJC=>g`tgc|~yHn`-_tkW( z!9|OWYk3r2BC~rNEww;YdU-D_f`RJOV~v?XJMo9oR~B9DxOW}G22R3Y>2f2k)VuVw zfxK8~X&eT051Dwo?Yr!}i4K5GX;Y>520B9@Y~0uv z>aUkK@ zr-J`|>e!JZCEarbuhqfPGR>VT{n*iXva^rk5!i@$BsIyu(BC0fS@=LGvG0&w@Aaa9 z*Fve|!YH(NK!O=1(gIrRmCQJ9#LrqBWq$fKPtmQ$g7oOYmi0t;nR|BhM#hf_OcFcG z$L})7E5=bhjX4eR!vB3OU;WPD7QWpo`W?=8zMl*lzputNxvGFg4wXFz3i`c8ci%Gd zsgBhx5^CGMV<1Ml%O&XT23=8=+B5`m!E@r8g0lOUo? zlwf3(jd48s^A=S7l<0TuH(ESRByw+X2~Yff*;dk-UiSH0A}tt9-SUzP*uwX78BioU z0ffr_=t63Wd0t3?NDpHbS4Nz|3&9Vj1jxD&b}{wSrX~J*LDMWzr5^@@na2rzXM*&Y zRBl~_&o0)TFFa-Mp8g1O!zM>8i zj2z)t$1Sk73X5B;R%tFed~0lqPIv*CL&;&>{L^t~Un zR%~Q%~9#Q_43B)fA z&YU1<-q;=y*857TDnshBhVTQOZ zLCj3%QX#CMBFDYep$sh0!dVGBJCN*8&2M@LjrheJ()M7ygf)w8}wtN$L#} zZF_{S@8-LN5$V&Z3Y+`{$;P8S*L}}+$SH8L4#`#TCkzveOBg?MWq#$qqJCd(9 zMORh$O{#StF#P(puyjE!@Exl2CY)2pkJUv|_ritsp!yCeP2WC==mzX~11r}tOjU`u zAuQ|Mdxn`lzV-%rsNX{^V-BJ9Dat)e4=Y+)@!h7X&EgIn>9%6SS;VRFh9?k zpt(Dtsj$@cU88;5k~s5D=}Q(=MJI^fA|bD}n7kMt?@&7M>(6rn4RePx{GI-vJJgf7 z*H=j=VLAea{#Zf-lbfU(F?8ScuGuYrTfk;)*{+h*@8D^JyE%>M!kOWg$gj>DDzdoZ zcmHo6%BfaoPUeQ7OuKz2^DaeSFX{;7vsFBf=Dg(PhFpf*>g;({Q&2jRw4Sd*Dec;G zKkiG@^_KF7C3@qk40Bo8AAVPEytYeH75f)@r|Eiv_i?mh64ejrtVIM{4w0<$@$uQa zYJJv79k)u#6c&C=wu=7N*ZFI_NbIMFOr@XwXiA1gD)8=Or)c^t7wY}H();D@`d zQ4zv*yMi+587nK!D$JkIZBga~7V?KTwE8~=YUT#ZSh>INwbE`=qPX^lo-MQ9$sF}+ z^X6m8Nm=E(-KQdr$T2t4*7n_)jg(3?e`&oEDaGxrd+J8Gs)10?_l^Ja&;)8YJiBXH zf2$k)>^{y-R}Ue2r+xk5+U|kgsqJHVEA=zax$0hSkIx~Opn|$neULL_ekMDc>9^az z5~Q^~Cx0k(9%)QIXCN>6K;s7SknEn@9)}xWC!aka%cdqYEbY)!F`k+;cBD^5=%!#K zk(TeFA-z#L*-!nRC5fJ7ty)pe(%=P`mo9$w|AKkfWZLrjLGRibZ8oNSw9S9=vKS{ zL$E}PM-ET?`IwP%q_pT6vSs{tzqvoog#KFFcvQNyS%(y;Gr5j9Le4(#K)bQ}Z+&BE zH2zHz8Cn*eG|(u8*0mjW^ZoQN>w;5m%;M_$P>X2Dx5ej?>62+^4`?=ab5+q!?bl6S69DE2?`8dGA+~n+C-MsmRAQ9FguIJP#xuewWVfjd^RDiE7by?o&?+s!* zPib$LxGXjElj72XujR->L`G2<69ntYt$~~FPRj>pvlNz1sBENW1*uN<-F;FVJb!_; zyLn(SnVD{bb@<|{VsW$GKF-;e>TKV$U?SZBD+G5M_B~hx$cfZ0jcdY0=B8azKD<5- z!Z}7?Bap@tLKR<{aG#(>0+~!OY1{Td-R#0#=dqk)@&{6=YQU%A2CmZp$XhJ zc@xhb1+YX{WMgRRd=nepa$Mh(f>??cG}3^(s`eu61Xd?K|C7QTO!D|C0 zs|u>|qo=%6Pr(m3LeFO>y#1)9A4x85jcqD6#Owj0&!@P)`ATBz=K2exF97UP6;@bCS z&k`#t9ODD`Z-AtooY_-P_DhoVc*4ujB@`KKByzMC1BTx1y@NXv?2>1{73amJIV2e+=!8VMv@3UFZtP)i*mk9!=~D zJV|W~3=)vzq2KY_4a)hs(I_$&J1Bf7)pYNmnm?pTHmso7yKcLZbQE@g(2}emUm~rT zeWK5yO4Bxa1@kZRJ^m+PXZ1y<%kis!$-zJ8baZCrm5Jpi{ws_dUoo!u(LcRf=qBS| zkvCxnwq0D)h+RCt-EBD0P)1KEC$9-*jE_dS8cp|o#=~mzCNjGwQgF{qV=kWolrFIQ z#@|##Ii!iVO_gp^D!pfM^&@j<4Gk8Q6IvE7f&#&Vo#q&K><|(%qWzp%TWU5EFwr|| zWcNE5^gjfOQ2KU@)AuN`HZMe45`LD^1i>jJ=YI30o$X`*%aq?klbKjoF_;itb8@jD z0;SC-1qgzIOitwIgqa`t@RQ!ocAKaB`MLL4{<#9FL zXSn1vjIIpu%I9@-bZkjsm{$d?c}J3CL+grSlIwKCBgj7z z`pk%aoYIm@3uf5FeKB*`x5i^Tg#tMW-k0MX($Z_vN7{eBXqxm z*3kptti}Hy!CH&Pi7$voFau>%GRayv{qwK&6?Za7V&mPbua{`*=HzPOzMX-ab+a`; zSzCfs%}`ec#C9O*2h)gVzk{bnc(Gtcihx7sD5izlya?2Z{R2fG9OdK*E)(D*wC z(O>)Su9x;19QmI?HqxwLK>Rtx8q5jq^kk( zt=$8t{W6&MEXp5Q?=k-i4wb(T*yPtN{^Pc`ue3{w)B7hE^rpUEr3?3gHI!fN4O))A z^^5|h4tp8Sfk*oXCf6&#JG>P841sNch6qi-ABV^j|8Z#CbIY(xqRyBf#D@~tT^E1s z=R>$p<*+nJ-yD%nA^uf@k*Fw)rFS11M0Y?YS?|INcw!<_TQM-*fL$h(Mm;q~J z=J5+51aw0ii)Ge5N0H8{@CKL)Xe+cTr*o`Gy0t^K^;!uN!?U-)>sO$AO}_3$V!k8j z#6=g-9CVS1^G%Q%n^zq5F?~yF5i8jg>e^>Y*8_Nr1)UPjY!A|iJ*mqVoYkji8~aA? zTL_3RvDY7#VETCE1_RxByb9V*r%XhjP`)oLT4r&`ot9;hEDSB5i4^!O5!J*jm>C>HL@9{%1 zBOCA*oIob{wZ!2r0y}ZohEUAlL{F7RHEU7THs_II5-z#OyaGR zqh3p;+I*ko$w`$?yL^PEk@|h!*v3P`F&8C5T?FmJlR-YzA{Ujmw(RU=ljfrQawIB3A2yqd|QhmNSKfer;aqO71!|Ah!8)aHrT6Pfx zFnEc8wmuCxYYz(E`XXzE$l0W8q&$+1$OCPpAQ^VNDnx;O1vj>#t?f9d>}DB}DF1r@ z-ehp|?XoRfpV>oiZ(X;-yevs0Sa@RIn~+*6Ty_0TYHT=F`ufaE&Vpd7vbulX57loJ zTb9%gY;1B!4zbKg zSS#&R80B8Y5HbsN1~0G@MDe!+Qz%FX@+(dAd}OITit{FHhmb#{nkSE9L)J3d-@zd+ zlsH>WX#3xX(s10v+i2*1{PhsYlOTg?-O#CJC8{V1wYE;oWl_ds$OmZwDBz<30L)L9 z%>n>-C0v-n*;$i?<|TD#tT=e2Ty)D%;1CF`uK?ebu>FFvBt$ zlB)&ICbKT6vF2@7j4`F#Mlqc{d%0}xGYbQ+H6?1bdN985s4Me(p zU~nG<*>CGudzv`nE7pvNwE1~6rz@X!LfS_OXM-?K zaXYOt*ON4g;wczLO^!L)KC*nzMm`>Hw+~v^x@?=if(WT~Ur%dD?OO4grBCk1zw=D( zn@UAZlYP!W6vRvk&7ELWne4W%onKvNsaR0F1_&YpoKH^=H@8P{%iiS8UGE#8F6lB~ zg3r1c0vk5qHqS~_o5TJ(^fd1E-GS6*N)QGYN^~?9%jF=^z=eIo8@7m!kf6l?$-d^= zSW$}H!5O^Pb2Nqzu+=6!h>Pn-ymW26WN8ZV-VxeE#_{b)1D!&y&j*JpU>|4hHD4Nq zz%$(V4bZ5U+_AP=hZ5!9&n(sMjyT~UCL~mm2@%{22-y-`CuF4kcCH+v{Wo;nQgCV~ zT`=JwRdp(1(2d4~k+;XUI#xi5EuL3_T`7_RUIF*k$TX7tF@X0L)SVj zyHt`+W01a;@%tL)*!sZ~8pmop!E$PgSQ(nW9YXKfjsSNWJlPPHDL{rE2 z51RXzc3iJ+BCTq|ojz`8tOIw92_)0kFj|?_RPJ)0XT7pK$UJ&@*RNx10(3ewiRUDj$Tsx3vvP8B-SZ_vl_Um@i2us7Vuyu5E(b~>NBVR~_ zDRPS`sH2-u0gWHgT)iW?=-}Y1%Leq;9cKNSFo~D@U(!xY>xEBNX5-tC*tCJfs$-%M zU+!WJuQdS;xgFfTE(X4aJbi<28@3$HY;lPcSLG+&@pfW_Pj7w$in zbm>%;@839@)3TH{KiBTiG2MY%lOP-goi<40l-Q3JXE<@2btwZ$HkLe8EMANZJ1I>k zs0P@=YkuwtqtX!aN)6{%e_zrlZ9OG-zI1XVnvM|6>-VG3r9~mOkhy`-A6RW*`);1KFnddk_8>nJ!R^74LT#yV$?XWCuM=&5s}xF@5r3k4)CN ztNy4ke4DsDkrjz#?lrA-nB#S!KndvU@6W{{6veMTxE4@kjkMPQ6WzEzHQh>35a$IG zlkKrhy5{CFFfc^6Z6gVJ^Syn@<=%r&=_agapCt6(xIy)*q(qrb?VO=u!<5Ii2R2Q5 zY;>6F?%wgH!WyN)6NGo2*)9A);|Zd{lQN$a741N(TG~~S4k-vFBEctaDTJFKCCs>q z(m_2zDpr{_@>$Zsu!wEk!^wF9LbR@c+z%f#;0faYrx53$1kkjKST!MvM(z2Y90061 zIbP%17fU;7zrfDo;D&s2?!PlJOoz{om`3XPci${fRa8D8Y&4Ne&q ziG*A*cd6n;y6aPf%OqHrl$L@kk^j{Q`RKpDWPmOPS;EUOt7sD}J=XneN9vGiWUiy* z)Q8fUk_UPUT1+m)BDY7TOIJXl`SD1X>EU!6R8B{JG~V7@251W`K;6y>^83mE%le8# zn^y*_))`EKIap_Gq|^ zMVd}xzX%`WZ^nq7ea^8{eK|fUE&+j8V&pvY+^SOcdJN_#m=jy02a|U9q>jstdn2-N z`r%5Y#+fs4kT~3oIC+;F())04K7YQ2aG*lv2@%Y*n5dlBuel@Bf0k;F;`V#HyzFI; z{+^KjSrAbbI=Z@dWy2~2Gp<~@VlDUd`)foZ7v%fteB}E%EQsDOc3uE4sCw$SjZ)^U}&doi6I&Au(o6K#jzF(CwVPW)M zaupBKGu>FWZL@ZBo9F2?Fg1<-_s>TTW54cKb~`ZvP2*Q@llc`D1TMb`&|edol(4*s z27(?;Kfo8`V~<*&E$wsYyJWxISX1d@3V3g{( zD{owp`lkZkLa06QwYqNH5!^PcN8e9#3e+J7%6CLqN11)>a$lbPzPrju z!ti~DAQSGlzm?K44c{BWw=;1Gr(IIkR_JmYe`gvJ(vMeBTtm?wzLKLYBQm z#yj@Z`=%l}*@8^iLY}*!S?DiKEN^UT;`j&+)NMBz`DR$l!b&y!QIw|PWwF?%!@u_w z*%$IMNW1)e&u@sCzqz4}&3-(9$V~72^Zi}piW9mYea*@4EX1yoH$MW4JRabxCV(|t zK;BS_AMH}pT{BcUJuS`y$seS^!`_3bw_|M&?G70!?_Y(z`%xL0%9z`1&t3g#Q7R1wm|r6OyES_!C*^!;WjyCjcS>FGc4A#sWb39Z0K zcDq@ZfYdKnr{z<_<#NMgHwcz?cdb=ltrXG^$(wpyU9}LIp{m=<#>=)$Pj%tr((4aY zr=)o`KK`Dem5#a`;ub;B)*C)@N&BA`b+t;61wr}*)lruOliu4jM|Fp7$Hw1t&e~D0 zMG`ho7M-cL*?KN^CmP~f1A~%=N7D%=$Nsin9;kHBTWPCyax(fzRCzohL*HsQ@?Ok1 zBs=?HTzve0>`_)uP7=pP^@+4tO${S+mTqor`}XatXvblRLl1Qt1~8a=S6ruTsyR8T z2ktAwLBuGKA!bC!*f`OZg_-%R3qW!@3IMpf3MMCD?YtrTIec(c;7AU$_benA(LW?R z7bY@$7#bVzzF0^?z^eeAze3u!r%#`fxE0PT!*6G+xoW)Vt138y|0rTr=r+qbca+c0 z9nGV0MfZ_MAKqok9X7-U5SIrQqmY@Zs;ak{$cUpSNW9`Naww^30xNMuZ3t4X5Ju@+ z#l*5_7ni!!! zJ+MdpjD27EkO}Q~KBPMmi=l2Z?&K1UU%lq%c?G}c(&39;TkxBUJy%z*iUB#n8exLo zyua9UuwkYH9)P}|pR8T$7C5x=LX&NHF`u;to7|Oh&kB`AO}-sHA0{>oEeGqe(HobS z{mvgOPFtOmOe$aGI)CWkpI2sI8y>P_v*I`v<;?Y~U-fYZo5;Pum2|P3JZQ z!eBKG4U;3A$tm5vyHnQzLx23>HytssWp0Ui6e9es5P2G_B_-Df*dJ|s8RgbXS2ihr z*WE30*WNGRFQBi#P@Mae@vIXBE^_Dk-4HIZ`Zw{pT038#Cbo3V(r1^jm>hij5A3F! z*``Nz%1p<`DwNm>v;$?ATq2^mg)GfB8)F!3gLout94kzVgE} zJMGiQz>8wbu;M)bFD(p2mEUiFEl2n+IDc~alBh$9x8!8W%wM#TJgUsfuExWZBsVEo3V=w@}e)#qp6we6GJFtmnVxj8a&Xj?;8+gS_@ zpL_GZrw5uxgTFHh3WRp;s;!aDq9CXj5xc&f)OBkrEB}bvmXyC& zkjKW_8lBhUN<+y?VpUZY&FNB!1?x91sdHMOulT#*L4v6T3NUGGf4>*8hVX?9L5R3e z#0k&b-NOsSPwtxl!0?WOc+kc@Znc+HenR5EjaiV-Ey2GP!NVz52d;C+3QvScmU(bY1qgC=)w5M&UDj*fv{jrSe6(5ATo&GU#UPIq zWVzxp?k7#XW$K-`1-6Jk0558}s9Bo`@g;xw)6N&tx{hi|*l7Ef3>H^O&LjP)Xgo@TMt}QOCtC?B(mrJnjXUv3z1?q3n@Cn$){SvwJ&N4-5v=3fa-+=bi6Hn zXg{}&72v!&AXh>9zfoP~-k*m%W*cz2h%dOPwPjDF8+5LBd33C8nLz+PWo%5tOOnZn zQzbJ7`_|v$gG9lzAZ6$AN1^d?sFd{NuF@^El)FohIj|JAzv+5GIR#I~rv zS|4#W0BOf?^~zMGSXq*3Xx9rOv;(yMo6jBL+LqL6zljTB^V7_NXOF44&vuLdG$(!* zs0`qxlk_FL+Lks_cN+#b$ij{ma2~B+qgjy|EVg9}gJnX+Q2x31i|uA&O@IaKC{ifR zTNRU>-WV2ZY>1_d(VU7l5wRAbtSl&mA<{^Akdd7&H&yZAz5UjJD`v}@7u$_`Ea2x% z8}etpD?8HX&wktRH{u`eU4IMp`{xtn6Us%kA>RWiClXayg+H7%R=*Km@xZXPudnO{ zoqlEIG6O$p|eHS^w~L0EDSptgpA<>kJ?Fl!Nq3k|UGN z5Yr*|>u)2}8$$?td2z4E9m{OT?y&gvk~$V0(2)IP;k0uDK#FEj@?O@7w#*mf7RQh@ z>f*7v0f?Sh-$(XXkg({;+nO!8UNI`LJ4p24S>yK^D2;MyHzXglN;mn4^tm1)*d*_j z__C6j>`y6mCZVIMaI*fFg>Z-G( zqia?owIdK9fDDg}#Gfj*FgFK)&w~x@#GIAnp~InF&bREDf786~)eh9#KSwZAv)SW_ z+F-QN@skX13t|<6hPKQz)F>qWKw?Anj5-|DCEq`5c@IT6d9y)AMsDu95OG1T7wHKY z^f$(m^hX_oID-VAz>V7RAF1s|<`xKH8;E!t2ZVVyWf6QVQ8UnHsPxPJ{=D>Y<}9fQ zU^54**bS6V02KS2E4yN}v7 z*zXh=#qJ`S)BUs0IfwLScWRoCxA!3LWaJh!_&tEr+#)gT57~$B?@#=}aROg}5@^Ce zs2Il}83Ab~=v#!<-|Z8%5g?-{V3p8uZ2LWba9@XPd)vFae|l@5RsnLAjG(it-KFg< zbo3iA#X17?qcAUTMrLvD1@^tT%wPl+V);v0@%V6V!U*`tPlu@5nKDzEb^tV87yC6g z0?f+3T-rB?yefqq-zm9na$)gD`p0G#4Dc5Fu{raDb$^;bhDkQ1RmmmlsdOq-4?EyG zJl{EgV4Y|S-%o8}%PVWPP&XXqu`k@6x@psAby$ z)L?2cm$~%KeT}Iar6+GrgVga;i}2)dO?=bw9J=Wme+d&eH#zClJfB>`Fz*D_p^!NS zukm<~nl9K?>1C2MFA|JG7 zcZHJAE52BUC+togwY}KT8d}df54HLT4ms~PmP*br6gtvkX$(Ie}QOPx%Su#qUDt+hO@E7fv3LT)y+`nwgnV$kR~lODXBHs_4|N5lo&Riq(#8nyd?dYJKU_B`qV# zsM^p}z1R?ekZtBQw9HICJYPn1q&u=vLp&bJeN1=>gn`;o9eH+NsIi*eIjYv^4Fc;+ zf`5URghlF*r-KnKq|*9GM_nB^mrN2RjeNq_a)H<$&wn=dsdO714owuz1b`r+d-6gH z-&d2oaxzPM^MOw6wn$GO@`gPK9Q>Z{ZyCQAUo>v!KSXsPF$Zk({+PC(P|mYDrfE`U zwl8yM^(3Z8X@es}1Gb7BaS`?ti;GeDwA|v%u8Dz9YIt*`FHawYJpA#G*jI*chUd-d z$2=8LtG}*UYwG5AVtD#T@QSsaHO(pKiy6V8D}$W+r?S{vX7<9sGY=XsrWfNb^zMMZwmp~({JZ%e zB+Dpxf)c))eo+zZU?)ec41;&lc7>j%NtW4^+C!&`*4{t~VrW70mv(X6$A=5Q9!oap zXjInJ)U**fRLoNTdO}UmQfI&9(pYP3^_cfEj9@ro19kI2LAD!9SIB(PmAp^(1c$NJ z*Tls|7Pk3cs8&b)9i~Axgs^(35(>Nv9W9pp=#Ldmf`gYiOp?r&xAJk#fJ?0T`t@sA zh2L|+qMw;XJC#=_+7n>XlM;eO$36vx5Cok<;alh=L#sZx|32BcRLy$cso^lFe!fX3hlKsvE|}rG#x!M(~Nj@JmZ(?NnDD(+W#ud+c&Iy;qu` zFHV&&U-9;rfM|f?LnBT>-z8Y#JJI9v216#IdJau%cbGqsml`taP-fcgPQBm0E{T&& zI3XS;YA*9jjJrx+-rC^k^@Y3UXU|^0NOl>7g9GtOy@x1@lG6ZZcZcrQSwGrDsYR{3 zDOW}ecC@)(BZh2;Q@UQ>qUko}Gt5ZslY8Xkth@+w)`zwT^S&mH!j~&SAV*$Uf`e6* zShr7(A_0C(S9F?~h&S{1=^3Rq2gF4%k}Dr&M4Xr>ZDwi_#;&k2Vw!6>72T7goGx8b z&5jsQg?0N$o>nx4o@V|+*3C8cYvj8cS0yv0Xq{eeK9&olsLm;2MmLk38_#b8fXwf-U=M&jd+dy^k-xqFyqP6b_aB>+ z4(`ue@|o%j{63!7Vz4^oYfv&XHp#o)Y|(e3dln0Cif%F&nnJ|g5#t#^z9$ZhDB;gK z|J5_$st-x(#OUbH+`xm>{zaZ60%tEI#1IRdyOxuKe=k(SAw7dw7T{MsJ;>NgDEa!T z0izikOXs?sYLk`pp)U2wmkysgWvm=DNn`&07Q#!AZXstf!4V&v<8Yz|EKHkgpC(dZ zG`7G@SuUDY^%?JSNSSE%<&TxKDuce$Na@7bq4^Kw6m005k=*Lve1Q4qLEp(oFB|({ zM5c*Nx%uhoZZh!6e-FbqlddQY{BSPT*sTuTC~Ot5FU273(5`S>N6GtLzI}eoiF)60 z{NDEtOMe$FjZg5;XJRnseu#JxrW$kcc9H{Xll_L`M<;uWr5+xa5oE;51(&;ioud8V z3u!YD8rs)r{c1zlE3TkrefIo(<6UW85GO>1MZpv{ayPxzq2+wG*PTw%`|EJOSt zH-rhE#|#X7OW`&1h8yXXju(De5nQOOdt*9#7X~DlR#abJ=_27`Ab-*h#9GPmWj8#hgc`l#H4-34D zK9HW>*l_3m5#KuA)Ccc{ux#MxSavql-u$RcrWn*lKvY&^^q^f5Us{Gje?7a*8V#R< zol^g;r@*s*lV?ZYGn7s&V$zf~;q@#d!-+0)DH2Uu#(A@#*!aqY$iU)_id)Xga=+?d zE#VJPyLY<##O@_Vl5byp;aOsbw}4hm_|u}EpiFUYh`cUU1o0hhQxec3P{nFzevJ(= zU0#Y+frJjb%a65Fg%!E|g<@4Gls~FcuxvhX&Tf|u>-C;4ZNgLySIdl;tQRz0g>GYmrrCam`~J}Y{dLvjQzWJ@2)61k<28w7Kk|b$D~szgjmkWsi_uw5+`}d zI%-!&-PZ&sJw;)Ls-ke>IX7S6A)v6-V~roZ$aqUk@qc2mgJd!hKtY4HnzEkkr{>SK zfiy99hTxV=7~YoWRBG)bnbJ@_`nt;xn7p{NPjq2%X74Lr+N*-wGhUQGcSg?_R$jd7 zFlxKGF@OT+tJMUju4*m1b-Sv`*|S65@UuEGMs=8A4p4n0TbQHy-q8+&tFMjr$1@W0 zQ*P-AYJZ=d9rPYSUJ>^EHYE5xfS`RkGJ}z^2SM>YQksV;-1W!**k$R=&~B&PX)TWk=Ggna-RgRUetu@22n0!<3*(K4fk{rnWma zmjPNE^vAU_Ok>_B?JhBv=i9MA|C|5^>R5g5?U_xLKV|cK*ihbHo1XSO_Sb1veLIS+ zHph_u2yt7tYWO&!n`y1);y^PrO~;zqBOS=I9I;T*6lRo{i=`(dQ7ect?#Xu|)^Inz zs}A`~i=vj--ZyD`RdGzB;`v3pZD@Sv)I6N-YMKjg5r}KBUlaM9jJqgPq^HXb>zvF z33goyPCe*)Ou7qCddrxTVC=Z$3wfT@cn|MZMvNJ};iPJ9bEkmj1Xa^}!{TOtY{((x zVbRcU8t}aS=y1PM-uiDu(!}Qo!t4EIx!c$<81DY1ZXKvG6#uASK1cu#LeqkA)4#K` zZ}d!XHOl;Y^G4wK@#8Fjd6!;f){nF?wMfweAqbAR;qOdi_S+Ipw7r4Y%ke-uROQ48 z>icSiLEaG&yv&96-XSs|k->{iHgr=daxsYDfv=ycW;|IG-s?EVw^(Ib-g<6Dj0nt5zYSxC(a2bE)q84*pLbxe`Xn=3zl6fYqKU)#@k z-_{n2F^W_c8wpmRlkUA2v6$TQO&v?C$!y@ z`_ho7b6LmB+MxqO$F?R;5xa8R_Px(-^Anb(Q+;)sEw&BfF9dfpZ(AK9<)=&f=q1~p-$i(36YalE82oKW8yubz_8H?HsM zn|oVvacj3l`{d-=*x3?R3zbq!om(KXpwz$rhMz!#zhxu1*_R&)Do3vBMvgMfVTQnKqLHmY(Ct$fXBce#zHim_AY=jPN0 z=N006MQd+G?{tCGPPk{j=|;Rpa8!Ew;|B(2{;q#?%pOoa^6bHS#g*z#jdsk2MDOu{ z-`nC^awFC^6G=@X&I7U@30^9)#NBT^ zN^z;#c<81}i~2<8gl|ORHF`UI*OS~$q)(ps=|#}u2K_@;9@%+P*sB=3h4@g0O!?(T zugG8we3Q8uu|`v$X}ftIw`sS9^Vh2bs_5~X>y(}2Tb(uJGI&Yy2RE3Mb-SGX?6IAg zss7|H;$X1hlE1gNvQi!|-J30~y*=An5*bADQHMURI`|x^_qWU~G7SO>2#ceu&zh9= za~;eMAS5&QDJg|rP~vGeBQ=2^wW(xvCep75;`NUUbF4^seqwZ6q%&#c96FGA-Bg7K ztvc}&Kv6CxGY?|XWOn-K6gD)2lJu*d@F|u~?VX!_%llOsvyujBzA!=1u1gGfxVLroSN;9{$@~>{Z4YkQzXru=fAtTZcT6GA_Z=N4c}(3!S%-kr z0>D-q{dqd)WS;V>#9OS2sm@wKIK!iW>yNXs8UlOeYlR zl6)2gGBP4p+a#6ik9K`}FO()R2%Hj!L?Oh64DiB-O@ zxkWg!EV*T4R4rPQ2Mb!vT{`O_wz!$snDC!|gJXED-^lQAr`x8Q6b!P8b1#=&e4lQo zoy>NYLNu%Q_)w^QI?QI1#lq;oY6+L{r|-b{?K>jy+Y!0K=7@l+p5*<_+NTZHao9vG zZQ2VyEHHYf1xZspcs@(rzWYTwE{m*CrLGYnvhCX(!nF9BEeXOlI+daBE+!DMWZ*UTk%)4^H_Kt6V`cL zvkPU^ihO;YnGxh4^1`g z_Xk#FJ`!)VLH5RmD)iauSkI}N`i||ZSh3H9+ML|@YTFKq#bUGSz-=G4Kh79Kxf{Rk zL|d{l-@u_8*RN|X)$NE9&7Ta$zR++KAv|nyEM~E|charo*EHjPcZubtPuGI6@oF`E z`JcmDsC3Mtr5rstP2vZD2U(_HkQG|NGckk9eGmuVX8#UQV2tfD#yxhVet*$h?CZcB zX}W@MIzfhx*9pcJ9%dduau0w5j$wBL)6;){13Ix-9JqJ}r~+|N)zv}r{z4l-6mru7 zDa3D_fgf?igga-4Zy~v1cbY)tfP!dkDe{O|Z@!aBUNuI{=%Hs zxk}~kD5?JgOSs}pb(s9h@g(PJ|DpSbEi7ayCDRQ=AL)m>5~6F-o1mfB;y!jdj4_Dz z_OPnxb#ZR_Rk9y_iY3Cg129;Bi$hTRsyMfJ7*=AulIJ_NecjIWt1p#Wx{Jn=Q`<&9 zygXC9*HI;V0FKY(1Jn^Bo6v`9%_y4n+H;NVySUk*{Xp=?#M}eIT>p%Bs^M;EvqLDC z)GgoqlOa&oyK+_Ba?hPXV~#`77M@VfBeYYPwRg2DP~S= z4-@pt?dM7r41cOmw`xp{)1!ml{4-ifszblUDYv-9(#2Mal?a5yupI3vEOLP3D zp0ZWWKEDc5sO&K)H&9lMoTGc~20-Fs?o3c|iS^iSfo*N5vEy5Dr7%0gV-`d1f%CY$ znpy&5QZe?T3fH6NYHHN&1y)mb8X4iBWV}901|rXA7abw14g4UJ2v`wCEvE%I(YMZ# zHF3?#3JTGCWmS_Y&0|$M{y%IwET^9-kwA;IPqE3Sf8-!~;SZ{io-YFQM za=2!+0U7 z#<$rE%zMMPhR4gFx&*;Kx%c@oo=EEwHn7MyGka5N$W!T8E~KlWmE!p58ejH=v!52k zxE9Rqr%5kDD#cqzl+?HJt46NG@N7IQ)*RcuFE!)T@$sy2o*Pw0mQ`o=JY=vd0%tld zEeJ;s;hQ~Eu@{;*Src@kL30D$BFCQ_LV`xKMfSWC6(x5w12U~67M9t z4tm#9AI2GSqlO zi{Mi()H>)%BMp9*Q51Xb2?3i~ac4?)08Vfu9`u2am7xM0tnLP^fef3$m9m>2?6@GW zM9bMUZZ(AS$e8;OaK;H&KB=zV79}N|19WTON`0YI$NxHw_P&n#h;cdBT3U21bKBpX z3_-6GZP+RVK?w(#4^9h=Lfre4UhH)Hg%{RJ@xk37J7n)p`I72Vz1ZyHrw-T^uEyc%bn5uDP%$%ch5 zl@>DAxhWl9gt)He_@ZNaz%;gVDvZE$cmEIYt-K`RR+L20lD#68v&U4*D2dA_A(2V+ z`<-A?9rPSNQ%Ml}T&W#6f__a}FyTw|&fW6|U(!2$Wxv=S`RQyi$3|8YF19iPh}Flf z=na=)9ptX$4847;IL7D4E)|h}_CR0VneQZw(THWnqAVb8pjMzK6uB%L@3pu@rJLIA9ipyH@3aVs z&rfNvZvl+Q8#zszPQv+xFvQQ|x{dw_H6_*>Q$)=h?;LlS;4x1K-N!rdt1~py zyU9}}&pmT1Z(LAH;3dt_N@8y3y-yue3n0lB&5CsA%_(|!<@H!H*qWU!#zm6ZAETHc!*9?RK2!bNx@U#oaHJL^Sd_(l^LD-TjD5ZJ)@6O(@uZO0}j z3*H$;6zJ10kVsi(+CrlHOr9Qg@Kh0(-(sSAvfu%ydYdlBCS!YdF+5!>nkGLts(lL}j48jQ zW9wx@WeY}FG^_k=O_7ld&+I5YhL*%Zh*k1dVJwxQmUoMT+ z2uDKiswYkAh`NkPc*)ZGYn&max)dFeWKt)3=G!?EH+~5I6p82$aW_u5JCfYO0tiBl z)c$p3S`)a_U5x3F;!Gxpzd9;krNS1Q0?OS3cZ==b|QHnl$ejW1Eu~b}CQBk=Ly)9!856O2vj3z|)*3CPwQUHAqx6rWu zwuUy&UMJFvX<7*Y-2FX+`^HRbYip&7Ivo^a_nv&-GWl6nd4zY+HgwGbQPW(*Thm0o z#hJq~eB7t3|9Y=|%DF>UCYZi`h#?*Q(GXYPdOvFFjK^wD5_jjSXoHs#%!QJrT>&wf zOU^`UYO%j2NKn(t&8ls*W8^E=Pl)X!lZqbKnjC*E5XaAr_ZABm`%YaqLTblHlmFL8 z`^ElU5F+~==Pre!rk@vMw9guEgol)&hBD(jX4%m|#Kxz>q5baj;gHGPcT6NOL}~aJ z%qE|WRo+o>3Tk@wkrI#{M}X?k#(VI*l&Irvd9lLoh2+V}r)G+YIZChXz=~!{+MU~sB5Ksu6=9o z(3#f9Sq6^+RmizF+~Rs)O~iZozwv!aY`<>7l31|LERjv}y(<&pVRF((c#C)r{ne1t zfEMM!E=5L&nN!8*eR<)E-Y2{*c?Y9;-aP|z)ZK%$U}!G3i*ASoAxI$x;>V%zH}G5rL^sA{wH<*>y8w04d-{+ zw-aNUzpQ3ZwO%(G=E~$y#@rM2HhB~fhKW}x-QU!`Kc5w57*@6(fUD8rwwJ5pyEcp% z%2?!gDO(e|GB-pGzUWPk+S~89&!lW-ed9P7D`VqNDvG}ViNBRs&h!LHqH~R%qrZ~&7JEVBL!@YpuB&3SF`oSkwnOEINc#BL!tVbkXS!m|` zL>hT_!MVl4a8UjKAcQvm`UJ-~hI91alj1cCQ&$KwzTb(A)G~fg?9GLEGEc-|?2KW3 zW?JO2?I2a}+8>x_8&^r&e4~-j6rQTtF^V;t(gZ>?Ex^bt8lFbnH>!9)L#JYz^n-}& z{A&FsK~DgpV@h^I3H8blW~8;D;!kax^&+5}R??Gr?%CxuF@xcVNA1@>S6dtgcx@2OL=!t2eJqFK;85%!BBcYsNOl#Y>2 zYPK)8YNK`fZS<@((WCV=?o#;M;E0Z5j z#SI8QPCIq>TzFoynxb$c^)OKBFnp-}d@CX2uj{t3#615M8@NkmrcXmcv~Z z`^-0FK{TU1V#_~w)M=YvUQ0%Xw&>ZdD@I27^!0SSE}tf$I_Fz|x#k&WdWJ)c z9^JV+C8A*B9Nx9#8ms6zN74*)*()M%^4fVQrp12Bq=L9R&&*cSlx_=}N+$m3-K#32Y(xL7;yg(YYT)qM>hN2v>$)&bvl~L0jdKxf##+ zc+KJn`*p&JdZz`yl4Nr_oNv~Km}O@PT_QjWaGSVIQ6TXS!!E-EcWd9+>ls=!Db~;F zP2nljYJQ5m>SAaRn`t+@UIY^e^^K~Ky`YE~%u^!g#oULy2`Ykezp*PWf?(#^o zf021rk$kNwGf=bR$B!SG#PFvjBZSypp$E3ab{Hx~AVJ}wed`k&mo}hAeyiU5BdgL` z`eat=Y)NM2TW6w-)1eK*2eaepC4Of&TZi(`cvnxq>lXI(Q%_z^5c9f@XchYq(L%(n`^y4Z z?Fy?#N26l%KO2?uV9Bcq2^UM2m!?8{i0Lw<4B33HJRLm^<;KVN>|bXl)%zviupJR< zj#qw}<3(>asU0oQPbu)_MOwSyb0j6pDSd|O!|U*m)~Q3E@}yLe2KbNTxhKuJw|67C zhRu1iesKh6TqTQUD_=8IK;KTfGvf>f=DFwKK?G#2&CCV*XFoMc3$UVB4+#GVo0eR@ zkWUz&OlpB<^)@l5DF8het^X6zVy-{nN#s~WWxhkpw*L}~oUi}&L~eczQt5NU-t4do z6kbsv3~O(EqT07Euw(0v#{lDzpA7IGRvot<&58 zJAmo^lxXDb>p+On=`Zy!{BH{_{;w9gg{_pH&a?L{akcFTH}(?Yk(9LmX(}G0o9cOW zbFQ}m%%Q)YQ@iBff{Z80K?G)zS9$jG2!TV|bjMovlFA4~kKCvyCcJ_qA zRHr3kixc6WBGL4$#~zc`#$j`d&3d)7K-^b6?`e(yFuFa4J}^RT=X>rvHrY&+551`C zXc^m)nMU{mS%ncjbJw;!kK8~&`14NBDR<3yDv2ej$!aN>yK%&b*2QQ&U~VvNXodS=AxHpE`3Utki%0|Cb7I3`cB|I_&E%-H3^V zIZEekxrHNSmOLfMAoKH@10|_B0@;a}%_==PM*eT&U6x2k?aE&jQ)wk{B^&-w+ zQb*F{v{+|O&bj7(O_rtc-20}tmpOB!V^)XI{HWF0+s_5~5>q*8uT_#Nxhriz!Q}OL zdQ*s?t$9oF-4c7c4n0STM`tPgo)p`BK!9mr<@jP<;j<~ji_Ii>Q zRBuV)=YM-Vf3O`$mK~l>j0h!o89p|@)H#lin0tHXmKPYa)dYYnNfCSF({_CKBCr|J zP2~?7#hy1<$}jFz=1a`m9v6}4?VFMnkrb;O>gb&+Ymq-eaC)=f3bKGb!_-xAZM5@d z%@$Pwlo!nrG<83EG1gKapmi=K++hS+x)vT}hMP4ItT#)qzNQ73{;?YLhUSxZl7uCm zJ!T~6q(FX>{)N1ee*GE|C>EV!2a#5@g46$n8=!DlQe2He!vT${p#7k8Jo!^|&bL+- z$jCRYvhHhbyu%qNqgPE$&E$%Wi#MxoRb5*!UVxf4Gxq(ULRx#zN}*ys6oS34pe0%r z=o70kp#G5I;*-VSGx{^l)352=BC>zh;!EYw112U=l*V3UwcUn7cz&;QeRs=i zWn;;}0)knL#5peGal%CsX@Zb?I8=Gaw&UxuB9_7i*iwAN))wL%5R!*eBF*iC`&Nlf z)1DVwT_ZZPIYl7w8;%CNBOO`Ms%M;_sXqF5H)ds)tHf zZ~L{ji!HJ@Ra)2ecr7agXPQ|TGw9j9)n*q9El^*F%i_ZAwURG>LwUi@q|rabcqYZu zxg|QwgAZByPbjM@{|5v4KdL^~&1*k1P&yST7Z4LUwm>~&qZjL1Pju*XLHG#a_Ahvs z&^zR}4XxiT^{pLdA4OI2AZeJpQax(x{dsP(ZMOD6K0<%IgfeCCd?+u=*u>H|UY3O55s_$Z&qDB8W zbN=d45hz~Ks{qQcK3swAWcI|g1-;5knY8?pT4sPbux&AC2Q&g1X1R`=0o0?1h2P` zGz{x7--DG0eT&{D2(5w;CuOr9@_B~cZmIZ&T|GZHnp(8aOkYw5QH|69*BLL!Q2_FE z{1=M<u>Jf*|gn;VZU$QT9N)bmiqw>uK%tJw`q)$ZSrSy7^)hgCXK z@0mYkP}|Wk9Q6PNN^T*QA##REPL&x$*Na5Izku2&=MhdACMX&)&{^381OymRzJ2?~ z=>eWs_HT${LjxxCZihL*%m*)dnhE$|GqR|+@H0CS)Vr(JzFKG+fshN9G>fOD-U>|ZtU!t$jooBrQX|Auwt4xfBVeag;=NrG9^ zeO_x-#T_x(xf^+<%_JL=Q`b)`Y1nPv7gPC8$)$!+xGO{TpW@*=i zJ#}IR`!Xp3Z9m|DAxNC(A{l4JG(OEv0didvjlbOOM{%gyJWY@}CdgAn#rF zY&)@E*%cS*M&RNh9#}=4JA3-{w`WhKQvl3oz!LadR$<@I?{x36cB|l}*=fAMTu+o4 z-v?L&h08D&72+GK@!Mlmw?fbAjV443L&NE?=Z;JGo_}@OlGwWD-`82%mt}jnk@rj- zhoJ$eRZN{d8S7dRU>5WC%^NmM(R})3bNlvf>==mKTnqsrhCj;6G{c&HTChp)-Fp=C z7huZpOZN=Y$UU&>fVs!M`dvDPM}X?rz$&p~Mx8YvcjS66G(-FAagl{yVIDZ*%xLH1 zr87)pD=U8dk$d;nffHnU&tjgyo#k9Zp{#`hCOQLd4N!A{Jb*mz>T~;nl|%UOoE9PC zU%a6X-X?5fWo1QR{Hr8%r**Dxdjy*4lHtmYgtuDan_nq^UM#hDbaPvluJyP9@V_5cV5YA9 znj7fF#S0i+8-x7N^J-v^mffc7GCI*w0?`x4R99i8mR}kyhC0}O6$kblTCd&`+&1Vb zyM`;2~2fN7 zfgS@v(bZf>r=EjVldz<6u+E!WvSkg{tY(dRgO=~84#7n3+)P3(lX8KU zhrr{*`vXyCIFF8jmKi$8T3$MC4U~Bw)y4jKL1@64&}jH{AQQ6W_s6%_O6D}Z23`bb zyhD{ZLx&tZPSr>h#0?fD?9Hx8WG?`CVBgzKL(!xzfwCRTvbM`?2&}7~TMOuy+* ziZ2Y{*pt#cp?4GV>dFhpt!ksAAeC2AdQcoIlB%3 zRh~RHJbd8;BH0W4RH90#+QvgmBPR!Mhz0$436ter?ep36(exh;5BE-)<&m1KvZh+X zuoI-^;gq6-mWheUAbiBq)U#KK1>|_(71A_+d<<CtzKU-VbSoGKh?PcuOy8(*H_n0UkL{`f$Eu`)g%kolw@Fc;evGR2zC9fWAZ90 zF&)Zq;klp`-q6rMblLsaUAW0wBQCVFG?W-{Gozg`u7>s2BbL*J}rXAvi3BwGeCL-*$AYvgjb2o#Vo6%p2?GyVhV)5EBVJCOg+3 zfqrc#D{3m8NX&O~cIE@qBE_o8PFZSx+w7%DV7uV#{8AJ;uzf6R2yZYWJ4Dz1RZ=2~ zAKXx|&&0xFD+k%}NE|`s&M&e0R7Vkn2!}71e|);((V{UAmCu|`v{yIG!1~v(N#BG^ zD<->V&rfY^d!iHb?+22Z5!mSzg+OvhT~o6xV4^$!VAi5b_sjYn zI;~OI0iGknfPpT%#Fzar(0M-g6Pk?q<%nuqV>UxJt>~R^VF1|;d%)DJtcjiwaiUcC z=WugZ+OGYd+upwY#u>}VC%{n!iw@Vi^p{zzPrkv4AUtW6MWqEJp8%r ziXC?k{60K{LN*GRdr%Et@%2xQEawkJ9(S+~bX)4n>xMkKBL>FCZm{va5yU#9s8|Qs zwyoh{w>svNzC4A*pbgGCOrT*V0CpfRrNgl}KzXZn`;zey0Zrb=SVnV7*9xo1QdpcY z*{A#=JS2E@qJ!AQ#dX%Vj=Ws)5i5yjUtnR&+8v95i5s;SBx^k!FscRh3RlhnL7u?h zl;TOAD!#|NQ7Ect2i68tr{TAiA8)j2k3$6+W4{0kuH@a}+a|d^w!p!j$4|%bEYT@o4_DQklZ`5Q1mM1xL-BP!U{a+DdYDZ9-`atal;lMar7yP zoFCTpAp6;~^Jl^2!fPO4T~{9Od1+1BgU4kQgQCIcJ3=#AVJy=TjMi3;T-w~ zBbqiC#NS&bzt$>n_I>}$<_BPl-mYA=*7=`5*52+@T08I}r+JSxxT8WG^TFqKg@uL7 zhhiDVf2CjKPyN18t1c3Q0(M671_E$jNnuR*V2IhfBSG1zuLp1TD15xDkO{Y7f7<@c zMOVCqtH_k*knsm+js0AnRu5)5o7<{yTgJ1$Q776}FRjg&?NX?~^p?|t_w*%$%V0TJ zis?^Uc~Q}ic32BM!<^%MW;b5k4dU_%Tzz3|Bq>E!@QD%UmSZ1&=e=|i8{gDhvR`Cm z6u&4eoX>#vyC=@(N}1oxkRC#JN>uGY@H?f6TDA|^<@>PyeAxzWj%`z;qv?nms`vi< zB_Y5~3>{|%X$w{>;*nh|ew2IR@0~gUlJ{R=VZjpK&VnU8riD@1{{F-G{o;yuFvk{~ zR+mdNd_jhBW%-i7_@YCI6RJ)pZ|_-q*heheY^vc^Z?VFvzC&1y(}9#D3pZKUEUZQo z6B9cG9=7Zx_bpryG8VWr;hF`5{_I!YqT(#C0`uBq(a&}~m5v;vQ`mmY>r1xn4gcJM zNs8FWsHkkXEG&&-x|INE^8{?FWZ51L6shRG5V>=_d2)xRozbN=MVmI)E93` z^@?SAf3O<0gW5GV>x0GfK^QWK-nn$f_fh=zlET_PM`G%GysGMcS1Q{O*l3O;wFR;S*vs6taQzV;{v`e4 zNz8)PW47$Z7L?`k|I;!$`6E>{r zT$?2~2EyL(60Xd5mF?O^Tfk>@uo2IgSQ{)^S7dTWDP?Y9{n_cMFBl5^>u~Uh-OOmFdF|lmkUl# z{sY)_dT6(;&##k`_jh13&EM&xaxfl?pz*6Vzbq`o9D&8sBG{ky!{TqcFm??UG+1?X z9`wAknWj%967Q6jAbx*)`}S=U7SPKc{M}Lh+q+bTVGHXToAaRxSirTP@l*jdCRICT z@QTf*HSie;9ACm`OMwbL3J$e1F!!>8&MW4O@hmpf+s$gQVY2S%bsJGkAh=cWtz=z@ z797u|VSHwX4<5B!@2fq8f46a5<)=^_U!lc0EQFe8c}1Os#?lQdpt9j=lJs1<;r9il z?xFcbvOU{;pa0Y}-nU6@O-;dN92Iyii7*g4gdB>Sm@d zdzVUK2`Y{^bJK-fpaKIEuf-ow?ELK2dPn!P9+6t5NXChP+C-wmJpHdt`U?j zML<#kMFat*8wn*91xI2~q$CC;MjROKo^#G!cilg0anARB3@;P!^E`Y1_Ah41_Yz#5 zY{z~|&>=`y_uOhZcd^H8i^CWO9=4iVbOOJN?A z3@0iyn&HF3fsIf5XB_U3r06=nUv=P<%GC6cv76&rrp7M<(WA~i*CoMxK zS7@{aKrJAw=K^k|Ph+y_md=8Wu%?=lQeBeCzme)p1DHK#!m*a=s{Yonj*sHsa6!&LzToV?(wJR^VZl_Hc2@Hd2XP z4QPD}kuKP@v^07OwVJ3EI`DEP0mt@lH~87_k#Akl=jQvW9CZ8!C)1h(zMx-6VI^Jw zEd<;;?fI>^@$p^SNOEL-LhA$^tJYp#o6Ky59#aj~md2S-dHWc`ZHt`r*jgB3@#YDz zl4O%;Td8b5R?9RBx)DAO9-jS=aBT+vkB4g>5w{?xQK(yn1oO1%{L4ZHU}C1;;4&tX zB7l6>RH+FqVh+I~1>{2m({MZlBqt?VaUrSm>*Ex$GG@^d)<>5W+(5rvsf z;JC05L<(!FykS9yp7}p_=LpGx$4s;!Dd1fwUu>m*ztTCfDGSee&qmpXL&ui4Ynl`W zOBs%PXUt>PxAwweE^56BK_Ktj!rGu5YP=3Pg_I3rD?4p~*d(T;94bE2du<8MIMvKy z3|B$ubYB2T1&K;<*nYouTKGt`7QJBBW^Ewmj#_=p|cKW+gKmJJFOQ>v&AK_cAzyW`Lx?~)N8#V`F%ucaY>dmdut3gBbE4Id^` zjU)jK#%w|SxgJuR<5pWry0lBWO1Zth?ruHyw*6soV2vFIJLu&JZt!_Ef{&>zM65yx zN|j9eKn3MCT%8VX_(O3B>mJ&@xU2dIHXudBD_^Us(##{#0w{>6K{&%ce_m4SEaRL9 zxxI+o`3p}h;w89Zj)NZk46JbNkjNPT zfeN((q?HksP{gS3hLIb~%{=&w3zqQsLq&#R{Xk<@Z6p>^$k}lkp^r%|g~fF$($Hcz z4>3du0i0HveAt>C-F8|1v2TX>o4mTa@}jFN-i`g15zoNkw#km83b1K2$Yp&207v2E zTKHF)M1e>{bAqtBNN84f91HhjTt78Zu&?P#PIh?mT(}KH190k(@yRpJokHY*eD755 zBis8Zxn&=0qp9AO)T>M4^B}!Js9hCzlf{2Mt>?l}vhAd|OoW?1(u z$Hgyyh(P+Xf;}4|*{#WS%_A*=H($Z{tg8Gj1|?S}Tr*x_zUAQK<8uY_DGF)I}9bu&;vQ7m3^?4K%f%NS>n&)!>IVDk+S+ zrq%r4(28eHSZ?J?QhafwiHi7En-RHXx>`SZ@Cg>$2yA`LLb{-y!9j4jPb>}}@n|he zujIwvVsKY0*v>(eNaJc$hz2=_1U~aD*xACssI3YL+g!-rJIE<*J*oToIR$Mq0~W_5 zk(T}CR)@poF@>e3{F<3p@ZxqBay`Pupfk&t-iVNZo|ilW|L>P*B>Y_ckzUvUnOevpn29 zJZ$I@?)A6i8M_NJubUIJl^B8xpFgLDthuvh;8x)Cs94PPwf+v`a!x6QmQ*tq&ISWW ztH+oGS2RS>lJDolos|jaEMCMYd?bM<(~QJRj!&q*^Mslrq6A#=SD{7goq1s}v7>n( zE!bbP9~46G84wWQb?esT`yFl3KXm4gwUK*Ic7~vu`3mSPUKFFIDJOUAI;$`o#QsMn z-TkqL@r~&BRO7eVk7=VmI+}|hq?8!vw1kdfGa(ZjYGU1LzxEh977=>EIKX4>2jId2 z-1WDh2eE<8nTQk|n>l4QQF6~mH^=qZ#Pqb4r0!?Gr-f7q(=>J3NHzz#{sOn+RDA z%42}a{LBxZauS#!v$^IxuCENrpE3vV4t=>fj0yqsGyg^4dF2Dj;R;BY0fddGu&}Tk zmO_5Rci03)>+fOz48aJ34!r)?MKO{Tk?<=Q#Y+sqnb-!3l3g3{Bx$XOr7q_{wf!3A zm!QG_-V(G$Xl`m+f0pSE)3mse#hA*KdtBQdFftO-WpT&W%pZsP1vjs}9)M6lTwEm@ z>spmudqKzjSsPLGWVj?NlYj> z$V2?r2$X1=*qIs9FMxna;MliE0_chlhV8glm6hQEH*QP}z{L*15|ebU_LH?{r0W_k z$`}f5BS?D7fQ7IE@AlyxXdOXJ?hcvE;EX3;TK;=6RKtdIWUC4eu7kqX*031Z&0fO| z^w~&kdmien)r(3YYCl5cArm%TsBsm4934+_75SE80|){TOONeLD1-7o-0H>+cHn)D zKrPV{!oHj0W`ER6tgq%_8%)4AzTOvA)bY!{hylPudq&A83*2{f|$#o zJwb>}ozg~GbMf)<0hrYcFUAJqQ4SL;SET=_**I5{I_AD*9>|%Q=|I-3A2fS);1L#T z%Gy(=&XQ;*e24u~pAK>Z0xo;#h!#CQvMr4bPK^b-Cn zL>n{E(b8&ws?X4l_G0E|LulX2E*Q5kbi9*XStAewKqP((mVGnmk{KarwK&tdto(N$ zY{dTPezBTbI+B`#!nR%hqI|I!>A_qBH-Z}YU|HvqiBv@Qrb+@}!5$E?=)KBq_+BQF zsj0I45^|3fWRV}ep1OjS?yibobsyR#G4Rq=|X5*fjMaq}lsp$v$KryDeq6*sHV092lZIp);R)_xDXD)2BGgIBfw zz1G=Kw1%*s4|Wl3kTxic*^j`aR{c@qUtU|YYIUD(!oL|gMlHyd&;zFJ2d!Vhzw|SN ze=~GE8z+dD5KQ&zEpVqWiL^1DYdn4GG55w=<)KEq0gm?vN*Nz4!F*-rF}PiW+hP09 zo!}|~%>Gke?xaf*q1#KJA|467gaFYhBfU6yM@m2dSeE&DN}bXZ!18;lky&T(fDI)A z_Q68&7p!@>FBtEq+i?&;Oq~nE-BXBfgZCLD<1#+{5(Sv^48(B>4g9&GoSeYS$Ve?o zI64S+hBz!YY}3$1eqw?26n=whp_^Su#ySY|v}% zXZUCHK%Fh;JgIf^d0;Bg@6N~@ND~pUUcYYjgYI<_ z{?04F;n~0sC)ClgB%~+)ge+01_jZc<0t_?`b7bTzkAG|QDGPwDfF{Lp(6@bg>GyAM zn8a?HhT$546t{M#p5S*NmV6Qsp@Rn#V36p z_VA9pgmmrqB;#lwvgGCe`|8#d!K5}rVMnrr8cH4A?DVIhC*Q`Lg~dKo#!(B0jgQP#@FrkX;iTeLP6aGJCl$v?`RiaJ$?M{NVXAO(+qEmtD_-gj14nxZNV*3HUzv1m)vit~BY1 ztCOdPegDazFoSw0;B(I3o)l{x&#y-~FAr{U{&%3}93huno-y2|nxn~ZegdH~GUE3} zOIw+uMS!)X0)qesZ;Gr0C@`ecvFBb!KUpuZ+Tp%-;y*jbdbEB#QF9sT~Iw;z>J|Y=9=? znGE7#i$FBWJzkLJh}94xGkW8AgkhgXT=a1vh>bFeG@<&}5@E{hPmN6~qNPj8%{QO-Tv5yvo8g!?q?bWc=rFZ;`qU?y44X@91dQ1`?vZ6B%Jqp!n!J&;KaI} zmJ%uiF1NSHgaZg2l17k;)G5c%jCE_DbokHEM%v1}fFV-P;6VxeI6#KuZK&R3_$M9} z-^;D!Mth;H2T%>LE%aM^H?csHYli+Z0l;5SG=FfbpJvNni|m6nEpjJk;RAseA&_S< z1_$T`#4M!v8H4L+Ac(uB{3fi9KNbOSz`FpFlRg9f@eU61UjE=EK^u__ab-D63k#JW z7K5=+>#&nG(9-tCtPjh}A3|(S;!Zd`88I(dKl7wxq1&Hy$m2ZBR_<>LsD13grE6?z z>M3SE95nk5aDT_Hoy8G5Z?=cGf>^Fb-p@+9EYmw$AW<~qLk#)Qs|^z?Av=0qJf5N! zD;EFj(GBZ|0C{#k`CT8Ywpb5nfuWn*>r{^ja18+*Zz=wSM9pC#FWnK6=YKZ|wqx4f zx9=Pd1U4On1pbIBSO`-e+f-i-`6K8V{Um985h?v~DdOOq+PnElc!=s{j7|rAdRTn~ zhqCnrxT)f7NDRT&VU(?^1zn@0tWWI(nhUWZTFRZ_{S^W{ELQq+^ElFx5x0X+&xyNT zRGK|oghOihS6TbpR4AddzGq@?ga@$XuaE@u*8(U6{~{2F+qxIDul=Lw>ehi<*E1S( z;SLA+7xHocPPH_wX{IL9cG90jO4|}_nm4a55=6sD3@rPsBYuY{)jAx*`7wb8Rt)V- zhkPFHEUuj{wlWIE99>a(xU;zFOAH|Y#^%2{Ld-^2OSmcMOX*8|2lsKpyKbUKlIPlj z$IYG90qEDfi&J8U9?$;tBNdL6J{Es}|C#qQ9#DjUbF-IB_EkQBUAb4o$Q{B}5f&JW z-ZcAaz57|=_1R+&x5eZC@FAAK^z0IAPYif(&O+@vai$=|2L{R7y6KRz^JO#1BlPxo zLIRIqrXAiH8b@WF5kIVdGr_SMMJYWN56i3XUW>bXEhN5*S;5y zJ6~SD`@3l-SFeN_3>Z(>MEw$l(6dgs!05_OUCjvjuVfv6_#T3}{Zi)|A{_Aq0wJQO zMJHojN0My?dfylX#54t+>+_<6U(~jmXdg)SaCL1ihq(e`WQ$H%_urc3dLOiojZltD zKlK?tr^?g~cK;veK;9**x6G`Yz6*tFUeE5@(h{=2X6luTMHSFnDrHBuTEQ6bgG5z} z33}HeR2AN2xvEDyelQ!3fmnt&r7jZR0#MXHK6xsW=f%&)UA2+RG#dnE_GOmWv!;N}rE;ca}MkXNJaVDAKcbZ*h2kRa`?;6MJ>jmvey!v!+S)jUfJ}y|mQmdW4Ep%eukS zh_yJcf6bM2(jVbA4zwegPSO9cT~eXlZHt_l$VcOc%K$e!!N?!Xbe=At&|^Y=X?jl~ z*e2viwgY2}0Q!bJheiWcH=WJJLvXKO@lshinNE

iJ`)Iw%2=XrT5A5{ZnS_|2D7vL(I+Q`1_GUC5~B;;%mF}Bf`qxT(8 z-=l#V%gU91%l%Fv+)(kh*m2CmF!VT@Rx-|Vcq{Z=bB;2WTcOu)da4@@2ZJg(7z&xsc@5&Jw|zFmzU2Y{6P`-^vpnw14pa@@)NK%z{daS;-1M$kcnQv z)xS#e5YFHTsccSV;z2`O(x)&+#;ktbGQrBps)_gZ-Ydf2YqqR}C-tGNK& z=3LFWM0pj^rnD_??F|cIAVVXm*S@+?EFN4!p*TvNPu-#yR6#IxU|c1U(FWqv@1O>{ zwri5g0$Ovqs)<~9vy8yU7HmxC0TB1(spm!*oSV<2)mtuE@Gz~jW`Jjo?q7#ffnHvW zEeESs{ond!kW*j3=;w1E&reJNKe|>l;!UMnMRFB|dF~ptqu8w@ME`RG?$@z6l{J_i z>GDdQvcBvtjr`HUaO{FPMc?LZ(9z-w9tLl58tIPk9a2pr{#Ji>a+@IB{jToicOy zH};Mm`Cb)%T3gJ?9=g=6eE6N^Sws0zjHhQ%yw^H$e}NdFCH@jq`BKK0iD%@zZ=+yi z3v!nqQ|Vav%7uJuM-Nb0dq=T5a>S-_Pt0EAY!U087w>GuZ_VwyY9?+~TF3q8TXWm) zY1sT}&uGM5j>0BpZSV7`ClIWiGgK(yv&E;v9x(DN;?q=bsT8@&^Qppem_bHwY=DDz zU{Fxd3Bg|>yKAD+fD^dgAkXikv}Qea-D-g%^Ew(;qecz{@$=-lao7DH&_U9kUKL5Y zml$^-Q|7`Q>!p&#t9GC*mwnGcS~r~wI0t^<5#QQROTvSZ_vI230n8UfN49f*V-1Ag zPF)u23RO)B%jGi)yTf81bcHs0DgvFfXF+X=EzxIUW4D{ z+ZAl8ESTE~hI|E{#UK(AvNi+rJz({vE$*3$mw13R&{EUP@bD*@#7jVraXXNck6PS| zLkcnhJJ6Gdz+E*#j(t_lGmt(?T_g*Q+h?dT_P47BTa!eRW;3;10I*q9Lnx!2l6AL(`S*q*-Ruhjh&s7_hn zhjNSAH2RUfp-$Lu^544~esF`FYZN}buaKwIs z_u(ai8D7YpoN?ePaEq5DfoU33P3^S~uc=k zJ@ut**5Rg~*Ch{URbIU0V6=*PtQ$RdQKF7fme@oZVpGB$> z>lt*#c8TkY?=hY}LAB2b&Z=#6U0VnmMysBX@o=W-UtPxuuSdd#`p)b3Ztj{DXwQFG z>>5>6n>aWRD_MfrSntsvCKY2sb@w#Ir}@s##fTKE2=}<=7d*>>)FnG*vo-Hly#CI& z&(7oaDfM(|zFec!^P-vgad;B;uOSUJ6>$4u`nUfLU^^WcM?}1I;Q}Q@EG*lpZTucU zp1Z=`GUSlKhye?#sp;t<*POb1lkYGz4ky!n@+VfT0Gz-xQ&UQMwq1BUuL{PIbciak zcpX3(!lJYa-J;)L0&MFm^d$tKno5ssm8~?j$!1>-uYUXX?b%0qytD$B(|uuKp946$ z{X2lH)nf6|P1Ei`JS-)H>ZI)caL6nEm1@T!h<E${P5Z$?C)ohdRd6$LOAt8^`$m|_XhH+?a^C<+C&a}dutPFThBY=CF6^!%& zgcT78n;OAFGvyO7Mvu&j2@1Iw41EN^d<^QnTmhuBYtHUnNHS0*^UA|20r=CDkdh)b za26H>Kss-W6Hb($MQuatJe1QnEi6t0-@uRt2z531+s;srNI>?IQ~l%`2rmMFh7e&t z3D`?JbW#7-Y-KoL%lie>sI-SV{1HzX{{t*^KkU56`dGI&RkwFA@+`5f@@2o!vjw6! zf*Nx?H94;OFdO%C)~CI%Xz}pDVpBvS)yTe-YS=+iUZ5kf_1oc~2du)4=m#YAk=u*U z+`jGHQANkZ2|ke1LNd2*h_3#_F|U$VuCIprGQ_g9<_uW)6k~kV;@RsC==|@svy*$B zz!8mYd=N#|p`S#)%c1*j?O=tnv>u#XUHPK?@42Nl;=>HpqZjK))H>GTjHf(SFC5OW zZ|+lRcFvM+)KI(@v-`CnwHjv6&D{Ry#_GNgw{`H}#igJ%aVSFXw^D+zjchizV+HPc9B}}*B{{F+ylalD(+t!q%fTWWGvQ4WZs$4AMP0Hc$ z83Ar293=dip8@~meU`arm?Hmnk|Wuz%Qb4p!g80Q>`{#Fw>IG44>!@kKR$|8ECvR1ZW zz{%Gw6Mj{EN8}j2V#bFz%Nqlr!evkCW3rmyuaDq^?4bnUF1H}^pJ%QsGM@hx)bY1oCOjGOyj?Dj?{Ak| zrLta4&TTe8JTD#?NPQKPX9)k^ojs)-_dlFV(t1#QJ)R}~XHO?P>4Ad-qqB85!*KJ0 zznJhNln{IJdLZS)4KYOFpI?s3YkB-#E+AoPi*K@wZL!0udi^e$_?cNBhAL~_Qpr?& znl|k;9)*WhLCexY8Q?`D^>GBVF1C6_AoYv}>Ob~irfANP5RyQbe^6J*4PM?>Pi=`SNG544yH7vzObde9W=+#T7 z*?K?S;G+X38G_L&?$_?mFITF1ge5eS2o-xrq?+B-hugeQ2=&k@KI$NqMNnA{NPZXo z#b`zIRdFLdu{|~Yxyzd ze?HARk)L=~F8&8qm4KQjvMt`?T7C^ z5TMX%s6N(Ck=c~Cz*f2fQzS`6`7r>|rS-=Uv)y(2XF6?BP&JKCN%JztQAGOvNH*2; z0D~$fAbdFrppZafsD-=;Xl)Gj|33d<2^rK->J%UnDI2b`_80=G+I>-Zy%8pH-UvFX zs1C6~0VMY2+%@A&4>EDI1;{juFr3na=9@^N>a4d6^TueCf2@KIsf&AHj@&K_iP6#n zf7sCd7s18o8NdR&(hA&2L3S@5I&^;>tf{J| zwl5_3OFX4-3^{8A=G+1`gdZo9=K$CM()GkW!d#it0uMq8bSj7qWx-!ey6JjCx)%IL z?nh%%4~sIbIhf;Mkty`c@?uhnC(|xlrVGJ<8%FQe5D)Or9HyS)Km>pg2S_7$dF^zs z*OWEUUxLg~1M7!ZAX|C@nAwD{l}9zHyC(b~I2lzl$hhkYv&la`{%3%!lU4u}r?AkU zqI~>pi|xPv3-7Yssbgat&}g7twDD0LZnHn}dpKB?(dxX&(Tn%qvV4(wvmGtr_2G3v z*QEO%>qqVp%wvOSlla=In-A-!_vtNt53Cw~jT=5&U#&ks@?7A7TyOGv_bjRXJj(Iu zUVg6U?xR;m0KJ4c(`DE*VWUn|z>xR4xBX4YJ@mrEYLxO}% z;H)F#dIV=%yb7zC^amze2Rg1~-XSlwx4y@u?-32jr^@ElKEek1`+ZgW!-J81rAg%Q z;1m@#X3@BMTM~u#{ELs!R#U*##L5eV#1C2ct=;U!b=swoR}7REGyIIS{EI=>AQ?Ry z(mG>vBzmJ}WO1?#(()K_zK{xg-~w{4l*PU4wRIdYS2-r=U?#@;y%CO-7VSacJ)eX% zHc3_*SY~&{fgmLf*#5L7Z%M!~VH4#`>#5Le7h_AH0USym5p7*nKRoX(4%4r+xrQ;; zixddg>pRgP^3EC%o){mWF}`twPz?xZN}lg$UH(&;P+-uZwTAoNs`=*61nKz9&pv}Ce4>uFBPuHuC2AwmNtd2oLt*-q zlS4iXZd-qc+4xoDxpRCeK)8xOxXJd{RF6eLQPEK+ogIPhzb}==@B!*L@v!NpHF*B8 zv^;?1D~|3r#iYtYIv3FLT4CV%K_)SnJYilozH+LbK|Y(&0Ze*bT_>uE)eaxhQ;woF zU=5bFaK?I>pMDf=$6;usrvtDwluAt?l!*Y&;ERFz@-e@#3llrxD*-w5u-jynm)`)} z*!JUSz%C|McET+m&u0N`&bK)%f`L7!l@XFzNP@&my$eIR382xSf-r#-U~VUrXHeyE zWl(k)l6L^J_yFcKWZ?@qK8Ge+B>-VNg(9%b?{Mz^|9jK~zrA|I&)F*8RBBH^?jiEa zH6B14CJmUV1h9pv`uB&GXa;B)Ne1gwXg1(T$!HpI%~mi7BAUHWpR*S_b-S>MT+J9;*0SdR$)VpF&NPWc zJ#8+gnTZdEp9%y2aiwAAXq0$?&dm9kLM7|*2 zXFAq>{Xg;^B<;q`1xA{11n+X@M;2C)6fWz@{wzAm(G*o~Iuaw$2uEL2DSF_!%v|Lj zGqdOu$X@_RjR@R=^78*!`xZZcexW$?F_utp#3yWF7-|CVtbrYOhqC&1NQy0gI@fS! z_rNU`$Q|P_k{kkFv>~uO+r@N0FJn?~y12N^Xlh|n8DM&GLT`DX9v2>h)PfM9lgA$J z!;HhRta1e}NA=>o%*1GECRtSiP0lWixF$g{;IV?ox4XgkSqX8r3g*X?Z(U3Q1?d8S z;Scd3gsB?|Jq@tPtTV$51oZxfg|8Q-j8N%I-jgLb7B_wZ; z36yM`*KU5tLJ41*>{|`0qw=>j3CD zJ|bFxvv^6o#I9f@4)_X|zVP*6=qOU?e(ZlTDfY3!nxM~gr}CU$tHE4AK5Os~8hCcG*?g2k&x}!`!eng8fRo?s&)K=Tl5*nn;GY%;D85peOUSlgN|Ba0= z?pGT*b?jkimQ@@~(}rk6xq+)$Hl4#dXQ>KDzbd@FW^a#rSTV77X2>AxEY ze0ZL}SGb-eKjXh8x>=X2`7l5sj9IjOjS8NcSjp(uRV{`R*0y-sK#99{FF3`7Pl&zJ zoPHYA*(p==?)UKRlx}+m;AfwKqL&Ewq2_P@W{^sUfMPZT;!}TZY$GvEC`acN;_0(TRQ)p@#Xi5rW zX^kRL(ZwGxkpZam?-|A>A#(Aq258+iaWPkAUOktR?4$V)>_Somaz72_zAo|oO!8N@ z))L|Dh5?)CuG*#mn#w~9TN99~1xnSr5(y+7n6tI~~T!iaU z#a24ibAEaH$#eCNp1891h3<3sj`Xc6EdaENHd_YXY!{yp^H4h;TN-mxRgn)tjiu3s zTa~0Bbn+QieCMKLVc~2b#h1durnWnM@;oGb4QP&aRfxe9!OgMP&5ehrD%Fhy4CMs366Ji>d3S)vS-}k zZvxQ}WJnSR;Sr`Pc^VhQcfJwoJ%d0#S+ecoK-Ff*%)(Lt^up8;I2yhGJ zSBV{gIKQucd9VF6=+XV;aRlm*WX;&C2)>lQ5weEJ&?n31PMx6nj5txgM<$VBh-*Qh z#MfleQ%OH>t|5zJ7EG+;7`wkg7=$oTM2Eyz_mXcxw&5Q5=GPm9R)TnA9ECQJTbU8{ z&DGh(j|>1AZe(lZ$>9N+pDqu>@n@MPXmNG5!O({+<%t2e%3jrMI3k!-HGrTTQyL~{Vd>ae!~+2fSD{k zeU(7On}Za6 z4f0n{*Wq0kOLN^%+rWPi1-xn!ezzNNcz2Nb*fe_2zty)ON0oq@LxUVe?h+gUxw*kB zz$h#|bIb}J;6kQE*TAL#KmCue#09AKsbTG*6_$NGRZ$Vg`Z~kJ$+D@

d!C zNfs(C7^UzEK8K+-7f)YxuN^x>G@@IERymnFe6_mIGDUs&$x0h>-A5fPLgGut503b( zZ9ChJ_u~TRl00t8^*TRsO=oBP!|(}^TY2fo`cvFbTZ>#QV#C;Z2Cf&l8n{GoI>oYg z)Iy&tKQ@f8t_f93J*c;B#0p3mi01_??7+ou;|RykZURfZ1W?C4pGg$58upg zSnb4?Bp+NgGwXEX8q6eXKp;jav>v)|D$v+k{`q!0h0Los4R~qQW1;2Arpi-n3EH5h z> zEe@dU_c$8?q+)MOs-x4%*`2k?`hi_s>AWldjX#<&B76eEp6jsSaF9F?coklh^u!&bUq=t)dp^C^2^JBYZi;er_|TgkxmkRsdD}#5D&p&+`O^+f-{#D}kjv5WYx%M0#Q`1zU(PCC>>UkNKXV0=&(j z$&*IS@$2Y27!4u%7_d_7!TBXqusaC>K}uMEEZi6j|7>Ocz6Y*;csEoFBEBpI(mAE- znFeL>qirlii=;d52~JA2oV)l`_-H2_yR6$=SUOXZm*>E&@oSEWP%!DD-fK z(DEGxF7?y#Kl2cy@RJ$=WEq$&e7pOUe}puB3Z}A9G>V@e_L`b>G^dU1hR$NkqVg4t zKFq)(hS;{&RN4JhrTxR=7B6(`DG_trIDwqfGtv?guLd3DJU|@DTNlAB`0EQ8;9MMg z`=Zq4o*rqEsT+taB?xOLLifBkn1yUF6+zoXE58vDpv(hdpc(6N*c-EwJ_5I|n@*ie zZhqU=Mmhw_kEk)L4IYoze6jLR1J)rCGzF$m$d$SjfXTq5zo2UWh6k|S?tp627-3@| zbZl06SOVEq3*43$aPxXNM4aM-eCiCx>?iWHGvWh(aPST?)JSTA!Z05=zhEnn-OtP_ zS(2HbeOU-G`_ES9TX*F}(3pL?qY$iFvW?k4^%JBHG>t#wC8+h5ufx#D>hvQ$m`yQ3 z9Q<#15G;ZS-N%k8k^}AJ6MQCoH53-^OHhTS#1~ugr1Yi0gCjula{~^4L(sN$1G1Jp z*8l({B3Ca1##055wCxZ2!|Q5%xZ@8mtShu{9fE4)6hPk!Ad&&{+SDD*Iw1Fu5lIQA(2jO*JE-=Hf8xDH-k2RK@4=?b+AE(&*<{_G) z`*qD9tVMR>CSKn<>+P3$|G+U_F1ULm$STW`0{tLvd?~u@L z2>V0`YykjWxT^8BpJPj~Vux|xCqLf!Vg=xsN)WyJnJ?Xg#?uCpK|ok@TY;-pgDYu< z_2C5-@e;V$GqB#!tmBWXAUMOdGGH&~VLk*mM~<@;dJt*_EdBK+Eq^HUtrvT9#4@9i zyXptX-~jc6>ikyaKYwmF`qlU;CgqGtVN6VDjAqGWtAUiMq)w_T+h6@FOOpqTyP7-5 zUA1baLe`+N*U}2_@A2sPp_2QeoFn3Z+|=_Ui zCq*VfAwO78Zp_-Xklw@d{H4SbbpMQpYV(!=CZ_16@^%;ho5c@w)r1dpX^Dwso`nT1 zU4b)R6LZa%=c$0h%6n~!WU5!kZ! zw)R;Ix_bv9#yGt?XrSD&8eX8=>#dLQ@%xwQG+|gU?E(>IXMx&H2b`K=PJVtvuGq~q zAo}yTUa!`w0LL2-2=Oy|JkL?$`z&lv1_5y22|>&S3bLav3uJNQz!hviU5GbTXxRk- zY+!*&3krc;a4YGlwEz-L-SKSK;*JBIUe=Lllpv<%-?CwZN-OjXClTN~agtJiwvbgz zHWH|q2^1Hg1~dYHjRuH@(-jW`9fiv%sgbAe>xY^OfIO_t%u>G98(svljcnwWg1!W; zQN>Cy?07n2CF1DI)qA9HhxWtl_~HvtO3gr+hlC>JwJl#3lDmX__ku06F;NxZj(>?f$@y!x-YpcEUm6RPplV@{ohvVo0mCdY(c11!VR$Z|J#Kg7QUS zWc>wP?Cy48=9Iw2X^<{^4_kzb>dSnM3j{Z)aua%|m=?K;2w=)=#mv2^Weih`D zznJYSmBp<{eDx)Eprv05%z3~=a_2Vxo`=`N)-96^Cj{7+-(u|kd)`qS z-Jet=Nj8`Z20|!jLXSHbD6oKsf+nJa9vlzF2fP*0OHF^g7ZSgLy!r|V8#DoRmS;;i zBR@dWME5U)BO44}uIVisejEtLhu|`hg7r@(t){@pAUUCLP7hMtjf^v2Q51?7jF_4~ zW;xAz1O)C@4W32Z2zNMwy&I>js&B5oy)5+Et}f(6$u`UeJMX^B=Ri{L&pUSi*tmch zxz|sUvo;MgmeXAXMO#r23YTP0?2kbMyhLO2j*~; zYVxJvxKsKLPbBn2mzU36KvLu{R^7XM_q&K|DGsj*jkCwA@*{vM!nRF-Z>r6}7Im(q zd@yI=KEow<$bRI$e^Y?)+zO5KP21USrj3v4^_{N>(-e5k2u+j~l^*@Jd*})4R)SLC zeE#H*81_S^C+zW+A2K7Y`=hS+KjyT$cUo~4{8WryE=Hizmq7fMm-|zj&Xi=wu3>! zBQ^_fPI=2Z;jBra5h-a>-RxbkC%E~|5eMJi@u ze2U&fW864VTa@+7s@RgnjKcQ7Z`!dC#Fo|r+VbU-?PKBgG8#H4v?#>LfS&pobDZX* zC)1lKYC8bBLSPjC>a-&h6VoP?EH6D;cgCq~o805NeL1tRx@7@J`n~u_PAqu6JNO(do5i*~aeGPzTMvP z<#qOpUZ(|r`63XxL#19k0Tt!t@Fa3csEc0F0!TUtp}PS^m16y*x^ULOkQ=Ol;oOtC zL++4xU}RkbE<^3XB2TLUQ&%a-7103eb0BfX&kr&=HJ68XiEf(T1cm$aU^ zh~}81N618(G?ifkOb6RVfg6KEZ<4G%>L<&Nq4g&2#$zW!C_1dKzw(oQ^)*;ae4OX@ z{&UE8LW}3!9ar@~v+7TzhN`hUe(vv}ZTq!mSy;PDeO@b!-J@b9rm$Cu=A`|zd7>Gi z(dRW43~%MBJcC0)2+)3O0!|w|U0eG;Y9n)&QURBs_L{o8iLDHgGp&J#s&TymLNsgpO^*O=;2t9I!0L-iq_`@*XS@bf9T` zYsUd9*EhvtlWUHgNNSROEw*ydsg`WSHb&ZC)LblV2o8TNr2Fcc*^sdw0o)0iv!}EK zP_@t%`>M3M_a<@@vwr%ai$+V}?b3yXN0YAOJ4djG?dr{IGF9|SM>T*1-B zbh^TO=LbF!G0S6RhWsA8>L_H(Z%^f22;^L=zFF~dJ4}Y7rN_NK0vKGaJPAF_Gj-R} z0QD)FcE^D7F4uU z`iVjm=!jnJSozO6fI@RxVb)g8Sz6I>X}j6ntZxKVySKRvsl9~qYHN=c1)D%ROide&|( zVqM%RyN~0ZnWD_vgE6hQ-|Y@g8KWfS232NQ*mO=9p?~C+UGKa+5;ys}GE1O|*56Ql zP_6Q4DktTeSFmYX_jkp1TdCG20hFKc0_)v)(2 zPHa0Vv()r6A*Ar7AfoVwK|Z~F$~hJ>;f;kkUYYOpj#FFkJky7mY7LQ;G)Gnrd84M5 z1g;W$U5w-%ac=(*cFb=>*_0}hwB3E7fL@}0@@B-&QQ~jn;R)*+G`_j2Yv7eTO~?Lq z-Skp`)>p6iMFG)5m^p5)4kpbel5DEaO-SYttXSOE79I}92Y9$8rBBZ%S}lUtF9F!9 znR;u=zPKNrT2fi(VbeV|_gXR{9~>7g>MUN5S5~f_q^twa2nr>)@6WA6H+xhys;5)I zy|oGWzV)@FNc-!7;HN1cJpbrM^5NW`Vl3xUv#ibYC_a!;cW|_$#f6bY2LN}DoBalA zgG}#V17p^nP>sV0Xyt@indZ$iKTm*t8E^q>E>7%(NidDTUF`~-CdCrg^bfy!pk6L8 zx_8#0`sPWfl5jGK;=s&X{^MOb47`lWd1dA!_q&9l1Y)ioeg$i&049XZK)a4{gkc|@-caA5y0Ej6X{3(6)3C5bc-)^5dE^@UYZ*kAbC*|`qAY(=h z)?CRmSlom1ivTs&+SCE;k$8}poZe9XaSzr<#*%f8o<=XEzAk)yyj2*0hek|>YHn?kaVrIu429Dbr`DAZZ@&gs;Z*m zon;;T5~4esI^F9HfhVa6V(PPIk6|;<>E7DEYs^acxVYsp_(XOtvL}H(V{dD*8Rqqd zj~zXfa+yG=zNGA!0*VrwVzKdGTYGLICp7e*M3EeyHxxhIv%ikt)Iy#(9-K|zi$Zs7 z{`^+%=Y?~$^2JFU9nm#u*e>O7rd zE@cPDg;%^X3!S439h)bOYAZjhf83-L7z`VHH(i%xctrHFaLVSI)xRQ~3ki!? zA5c8E=YDsrXQj(+Y>`Kr^0d>%%4=sQOBdRf`K_9H2^4aE@z#tEmb|?Y4DzFgFrHib z2$-c0e1`)$sp@5>ynhlPHJS*u^Wr@V<92ghTWZj12x11!-`I945o04jVw4sE_fUp> zcAm$Hbx&nb#z`~ZN4LMuT!Zk?v{u<(Y3SAD2lg94|A$FcE7>meRNjARKi`7ounEUXo-zGURtht_gRaR|%bGdpaa{+=DJE6DS+7>mTb4U6{G1PaZRF0@9208`tybm%+M@@YCQ zBcEl-ql9PyHR<@D)=qW9tuvtWwGbn93_-SO?!Y{<#J4%I1PHx-pev7C+~a~PBX&W~ zI)fdTOsqPAM9OO2V6eUOyMf>8Od{u9+sZ$`7YIEE@2~WpptNUQ`TVt5g0NfolQe&q zLRp*A*gx{lJBo#dwL~A88~AtV;j86NbjEd5eGIl77Cq%FI*UcG?pHHzs4hIiI5ly) zS`F(gw!Z#R@hYhBy%=A;5S60u=+|P^h-I$Fw?4*Ju64aTz$f|0RHaqA+te4mbkfW| zF1&z=uVfp%w?1dXZ~vsva(pq?oo^-3?TK5;a?awrISm=Yn;Q%ZyZ&>_G8_e(UqX)- z8ghAbeWOM9&#)y3UqR~T%C60YD<@w$g_!~ExE%ALk8s-M2OM*>`eSvfy?^Ax#efoq`p?vRs6P2$D{;B}S_gy*OGtWYUYm%pl@_`ti@7v%V=mJDFmOlfvM8>vHjLb5!aGz%$j-gbhxEb?(|)bUZ<_8 z-$hUQsZ8}mkD?3ZHZMZqaTA3({C2M{2C8WhJ(sF;D>qm!dQi|I6gXljGCzO3O#<$z zAv}N+dvDhY-T%gAYC`*yQ6+68?HF>#(pm|sb?|6CfHmO_e?$wZ>%&8bEV4i#^v6VO z6Rj5f*=|>B0ADr(3RV5{Ow@ZPc>l>3==pD}hbC4~)95k!(9C=bSFJiCCa47lIn!R(y%SdT zCg)eLM(pFoOM2#J+*$teel-i{?IVVAT^l#wd->%+xp(Ykg^$iomak^A)h9a{4jUyP zr{Fs|48ib5_25CVa?ro+WgPUU|8P#?hdSd`HdWx*Gc`Io>fd*h1TLK!AYv1NP2l4o zu~|{0z~fAxR|a4*{)^X0X+kdE;G1Cw3@8Flew!hZb1BiQC{tzdkaw$HHtA~__^c^F zz2D2huP=U(CxDDZ)eM7=WNu_TS9RlSh{8aTCl}2*G*w7JhByu@_xK6R#I~=lJ|abNCO8Wtp{u~UgnQm zp+-GwHNm2TRJY^88i=(?3L{eWW8`Q`tGSrtvfzE5Jj0go|M2xD&{VeV`|vH5oeVp9 zw3V^MHe^bsM0QEGjD^ThiBci+kg3|GB+4vBltdvEnU%+uxu_&_LXsp!624=<@BjDv z{%d_}eeYVW^*+TO?)$p0>pYM17;e!sE#X~BAu5>vI4ayWy~Y37l%9M4&|4}$_w)x- z>XUZoq6M=U35>rdRk{~y*10!YFwIcda@fvC@dW z_eknPVMi_oxrQRuQaF!6j}#94*;V4#n}$O&$Nx;lnZND1-(hVpKzQcl+^_LA1g*O5 z{lv`0oSgL+X@lj&83E$(bduqMxlD@2Kp1 z`YQ3I+A3o%ct(J`KjeklMxa$|y7{Y9qFr%E8J`~<7i?NiUGKFCci^2V)jP}O(Fa`SYG_<>RA^l#7bXQh z2K&?M9UddNP;^Maa(;A9e+^B?%;Fz!I~^UqE0KxA1(Y|daE>fLY2^58X`kb-1T@Bu z{CWYRL~)Y{gDfNLI zhJ6|)uaPaz+pA5f*H5d?cc2clhrN?%=7F%I_w~avZnSJ-Ecbegnf0t_gGW!%X2)%5 zZ4Lt0_9%S${F$5ndXt{ zp6gv9W47A}3dAb9a3z1z>!u@z#foh#3s6-5`_rnqKQt7ct|kH)rka>iTk+RPp;~%` zPgQ(`QV+1Y+CxZRc0t#$lOi71`}hbu4EL>8pZ|Wn#kqSEq$c-VKHLDPw}&J>aih+5 z7OV6>H!RYQ!Fo=_8K0Wpe+~{v4aI7+yOSdW$WiC&?v2O6J)Yh9dZ%|X)BYPwmipWz z(NOxVq5Zvie^|ocg9E>wp>|re=8e6NvfpfL7%St6YcC?x9GNF!xg!(tqmo0!{|@0? zK2q%{I}z7@K5v{LR!e8Lbxq7{D(w3DE=W7{W(Kud=2WfQdgfhIl~-@gt!XcL*#>lc z%Y!X}59@dS8fxD1fgblUTR;88gPgc_km|z7Wg?D$pUE|ybuQ{kl+M(bj_}-&WIfJS zV_9WmEZwqpZRAvcK&r#0CQl>0MusU>2td!HrsK zelGESk%BV9TTRhq*5}4M&2taQnO*d7?1*vcrNsAp)zY{m2$ZQV2!g@Mb*Zlp8 zq;sv5bqrHp@#X4KDJ~`5ysgKSC;4bm@a$GQ@W5EvF8QoXm1wPEq%rOC9uix}vu@Mu z{pZa;7)H#yi=O;;aS=+3%b1frG<8+{Rc|@Ch8D~qqpGD?C!7N66O70AyN4wE7o*Pa z#zYwF285B<--|m>jqq}^_67KRBHi>iP=nCI11I__0?f1JGQDN_tzO|t+GQF!q1|`1 z;5*U~8eYD9`Fi-!(@b;eb(v2R_=kE*Xj5fe>@|u^ieZd5U)wZfgzgBl@IqnUwLmo!;ftzx97tv~UfVH#5q=N#!Wer>Dn@x;EkQ&oEy_@Tgebt>0FL z3AXEbu}6G*ud`ij1R|1a5@AK!n)Bvj#*KyIF>T}Nxxb>A&YPgO*wEx7Aa8p@m(-$s zt@p=7zv|6`;Xu`tN*lAd4t(q4gogCe($baUDn^~ZTpP{l{X+pFT(vJDE1r~z;8X5x zugCQ1?9s>#2P{_5#{vmr>$yHjulcAOLE8PGG7YoH?5Z9xacxEwXh!9y(mrn?!tm9O zFif+=NO#5q^K){JV*^ODaK6*&6ATdL)_oVj$f-Tl^`Co5>2A8@ofdC-;ZqNxTfTzA z@xn9-1A^Fb^SpAE z{%OO|ghe!2^S#Qee%9!+%3JBc|Jfe6nCsZKz7ib5v|@Em&WO>_)F4bnT}!sWto{SL zV^EUIW9@^|;TawQ;VsT7c2xdLip+Y z7Uy_Z!+&1;EO0%1+nAm6!HL3@%|w}D0&T;kBM*Rx_Y~sn*&?u-OnYaNqMEBRxD&g~ z4=vuC_c0-OeO4wS{sh0?8e(9sUF7qKc9FuB3=-U$5L5VLTybTlca;lO$HHbXYR_GE z;(M8d0eqx5uI&DJvC-4C;?ihRtU~mKVoT1Jp9uEICGk7VB`!=biO8 zx-fn0sCB}YxuC`1TE*h6|KiZGKv8#?=^LtV7MDm2#MsJbH5F2y3H(A(g{wA` zmThGGEjD+P6h0(pz(=NuP#x?_Y;t*#Q6O@)4kuVhwQIr0liF?5)rwXZt>o;=o_s3i zrVNz>yL)%?>4!&_eMR1SIh7Hp( zW09Ux|EZ4!RsT{-kYs|wbP}*buPdiO(L+&q`Ns+-hU%9_ng=4aM9iRlO-OaWgZzb~ zF?>aIqv-g>2 zR*P3&IW$gK&8={pJpv7Zml?e}ocw}uJrwpRgI?E$cYLp5?{8O`Fuz)7eo-lPJN$`D zcr`vU7vD;8l!oi4R7>^adZ{(+XYne;l>&sjOpX`a_recr`zZxz2p; z;#f;dKqr0yV2vV;`klGKUBb|b#i9CnOT$aTj6Zrs*shW0Zj)9t)YK^4@F128p1KI%+I+w$ph1aSQMr{`1-<0_^R(fA8XUVtIP@2FQ5;T zPHD-5q_2CQX7eqLX(QUp(n7gT$|a8vU%QIRdDREPQrUUbYj)t$fKlFyw7}nJVk88v z_S)O~;!dAMKYr2j;nN87z(!mWRbl^ua|`%*p}+9^)lD8f?s{gsaVQ4rnSGO2n0G0} zy-qzr{+7aFqOa(xATkizt95agVvNN1fg+8^rNN3Gt!iQIZ9evqIJ2t`9JUW@Hs$rM zh7j_Fbn58RpAV<2-g9Ak$d%W7Xw+lrH!sv7Y-aE`+ z=jY4XVLNcS#d$S)%0!SF=S(wiJ^!6kYx&C0Q9WurBW~tkuI0ZTJxAhywA};^r<1Gp z;DekTH&g~C2jxs2RoWA-n$jZG;kK-tS!yrj?nh*2i8)80kMr-LiskMZcI|ceajcrGN>%8y*8j@55V$e6>a5$;VBJn z^)W>u?@Z}yGQEp)a^RohJ9Hy|J9Ku%N9#BbsS7Q7WJW&!ty$A^($@=pxgDz4Bbv8E zavwe%zdm)eP=~dr4pN2;*#@Yzz3fbXiug|)74FKGZ6P5hIMo>^+% zt8<{htSZY>7z}_1!bzQak9GPRZ@l&tuI>-jY@6N^*S>3&xP8=6Aej~ow-O!j>LXL) z@^(}CZ$>NetflctFb;SKb*>_!F%#Fx10yy|=Ms1_;>2(1%=xvnuR`^%8FAWZ1{eLo zQk=E;l6VfbR8EwkJ+zl{#N(rpI(OpwVvVzw9tZyVQm|!E#s!Htuqd`8)ML#x*Ao7W zSLLMic#zdYwbYTq1|s*x%Umxfs4Gtq*)kZTqDd z&6^lsVS}N>9H(YB!4l)4dG#%%T2x|3`iF6St#oGW8d?D3)hR^TU=q(4|0hiq_sMUC z-S4i=S)0@Y@|Iuk9d!A&{{J(5IY-e9OYRI~-LUQ#hL)d$XD$2#-sTcX{W1paDqHm% z6PLleRth06dt;8l1jZ;LRb3Eajpt9nkz5EFXGbFP$>p8OMG_&*aTHPso za*t5l$I2GdJI4M+QLMtxxx=cx9M)ozSj$BkQQaMik$K0IOMgH!CbB^miE6v^va{#* z$ixTwK0m*r3udK;%XQ!Hw|HB!hUN-@ih1y^B+%}8dW`o<+JgvkVS0Xk{z8Oo-rmGd zu#`1yltBbofQ$?cFRucMV zbRWhmN069bdum*mvH8D@&k8Q&<-E57CZULH7dbYa~J}&zhT(d_LtbS;V zJaSLT*g;t-Vc&}*BhDK#kAm=kz)7#_u@MH&luA_fj{Y24sWYf z;kCw?%Z8>3b|;@}#a(icbMR%~fy*h@^rHd0<=wpT`RLK%8J8mXo;6@s(STi0XGcfJ zyPlqkJLaPuVtiMGWSf4V8|b#Mm?*T#<#y%~fu`HN0>=r5oP9--oI}-Lt?=5{HdOxI zw3n8;WJx&v;4`ouCJWP_x4PQ~&s3;tYW`UlIc28RCJOJ8H*enT#Gq&4yx6T?SmGyq zaD%rMbcJ?U`>}EDPddhKfp(z^Nfcd?62u#^>XeC}=IvRD*oISV12S11WAKK!Bt}ve zKb8h!t<~AUz&!4Yw5#cqM7LN8X*0NZe?bNngdv9xt%iE{27C=h3f3N1tXihHZnpOp zDt+#_R8_xV-^vf8TkiP4G>)t56eMv!8ro{q!-WM$54$+4JXdylC>)W8?Q z_Jq5;Nr?H7&_1>AOH6f4E>X4@E?qmvT_;-H9D2wXk&D^?{RfP-_b*-Z;Hf)T^LfZhseWbmJ}qf}&Mid;<)l)s9H?Fhpo~Uj2)Pv;6#3<^!Uzbi;5Y8A?~)Hea8j?V!6EJrpEQU4T27S?5)t)^L0HX8s;4pe@xYDzGpQ=e{&XN^C$|Av)w5x zEe(+2m*R5!4$k%1_;?DSv>&<#lSnW5^X{HOtjO1iiC;*N-YqAPf^4u2D0wjTK6BI{ zABS=R+!}OLRJ>2A3st>%G`%@$ND}4khZqt5=rIM5IU8x|kM&WWBj#wNwD;RQvZYe7 zlKZKh*LH6V$LASkCH5$QA6dP7<6yf_B`?v3dC+|PxH82-4@0!g888&Bnf^QJWSz=I zTyHoQW?sf7{!^9s=@^-h*XWHOzVn&squ4fmHv3Bw2j7J$Cn>JI>Sy1j^3No_Wc!=n zC8$_)BBEwU!MSFuhm4T_pUryfW`(!I)RBwXx!(TC&ZY1T1J^hb*=H=S`9kYHkp7uLaxg%!<_lwl*^h zXBp%jLOg9@A4|0wJ$h_WM5V_GkZG66G(~0y3|8fl>aysA`ywfDwWXlzv^zrO7PkrU zI9TVHn8n#3*-FKzd=th3h2CT4CDaHzQhF}&U5%jTx@}-w4}j7}3M*e&kS9D1)Czka zpI-}=m6bZDVTJ0IZA_`(2?k&|_&q!DeEpoo1~eJ>zc+0Nh5gKj)5XB#woryZp=p#y@!5ukW0` zXfXdHOWE(NE}e}=g6{bUx*tWCc8Sm9_v22grfi9CaSr{5 z^I`@D)h7MmzQ~vC`4+fzZ@e1D+WofKtM%9y)M6xBj<3|bP~b?W{UV8<^{AOkj!pyoHPpnr ztR{(_p3O#x#?^C&z9XF{;EW8m>zEvUEPdEfD0OtOa<^Gzg$DX@RSH5{ysYcGVgbuEj_9vZ#$- z#2K-A46c&Ed78~r28FFfF7w>BxRfVIbBN@WKjcM5d7>QdeC7jMRXTb ze-~Puy&jvAS=g)3ZnNdJia#;m(S-(QRJ*+NuEsgoB}*}%MUa(m?UnFN3VIs1H^IED zwxC_=^<0Jv%xp@)2C0L|jR|l)6XP`P-tB(hdR&WTFJ#>K%bV8bXYKAj`Fyp2oPVjg z{ZT7!Z@M(#2w`1v1**Ni+jW;=*;R>$)IR1Oa`EhOEji-GFgBMz|~pWwwC)sL3d5Dwc~$LZ#2>y zVL)At(#)Pu&qF!Wo0AX%H*t+)#mfm_9f*YnqkII0-)AR zuD|3_d4xiV=zer0rDdg)K|k}cO>P)Dh92rAG}{R-&l4C<$O`f3r)5wlr6byhVS5Fu z$tKG(4eNw-t(nT@;>()~xhO*gGw^!%JaOXl1jrE8*yet2zul^ho47UeXMppqtyL#6 zhx#9={&xf`R-v?MSko2dO9<8?75g|U*$&L5LKx&#j#>iYeb6}70xCa8oZ)8vUc-1* z2??eZlHclINVBO>pR!z$+gmP_Hg+# z-v4XOvY;;oG}F3KL&o>wR<5Cq9*K;GV*V+@pbXc^+x|Y|ge6`uUt{@7@c)3zk@;eV ziSj<>w(_mcMZ+fAbD#DB8Tp?N^FIsce{lW(L8SlpJ^%lHvS95&f!X|3gJ<3wkV-|x zKV`&OMpPfToI%2VxYLZk*ogFBtQIf!yRnArXZ|WQIU>5`G6}P|b`5qe^X#eNoyB60 zZFcX?+PVnf>p|TA4j8zYiJ%^Y8j&v2EEuo+qz||KEnW7e^9V6v_#`HZjmmc7>|^4t zhDg}wQR#@nLi20??+2}Vq{zN-iq^1GjXKlgfAJs5mI1aO4jb8TvlGGt`k{Mk--+|6 zA6}{HcouDx@Yq``hqs8hi==lYVp27OtP4$K4?dIS=j^qUKCVcKT)MP-qj+&i$u3Yk zZKPSx8gm^--rw_6Z>6m65Z0X6=lOyQ`ADUbV_)+_DcZ z`>W0`jvLA;2CwHe{mqwq$B{Tm{QW+{^^?mh%&+#JpNAFwE5Q)elZ-ugT6KFSL~0j6 z#=MHZt_F`5#mp1Dm2qBNXfL!)w~rJYWPxOg%F3R5z``h@*()>^!fkRBnWh@)fzxOf zA_xmpTZ6*2G#mUKylNe};>?Z63|V~GQV!YIJjUZ-#OKA%o75S5_{h+r!M+!RDb^veb7O%I19XZ z$XINBQDDUi{G0^gSby@VjZX9EbUxM{uk83-hJgfMM&9_L8DNSxS;L?~lxMLrK2=nH zJr_^S+h@^e?$f+owNTh3-$5@#yC_W=nkI?cYNti3s;Rl-qJrP5yd|-TEvZu!536)A z5~V6E&1ORYW_<#+`J=j9a{r`)fHEj{J8)(QBX{Q#jHLSzCuGyGd&)mZo9Qnies86t z)^1X1=&@s;9EN(O91#1;e{E0s4B*T%UY);ZT%pygG9N9ooWm#QlMhe`Kxqg;MkLE0|2l9UaCf(EBoOsXN+smDVXnJ>+ zCH~vNGqyJJRDRBq`Jk!|hQ8x&8mp%n7TIiW2(>h`gl)qP#_L?*(sy4NilIf$6* z$Qi_Ax#WGz>OG_&dCFhdt$;20t32!c{r!{TWgwQhS&(_W^~2Ls0kDLLGv7(tVN-Pz zX_bg*@{(?wt~wyI0>|P*;0OYKK0bB(v*UP()rMtb-s?FpF8lfO=OdQ9I`82_*;M1K zYzYI9@_g{nCYuUT*TpnQ-0N_up}3D;WRTnOUns%hDZAZke?#&w)5s~`Ss2F*5Ms?9 zLKv>8Fa4b^xw$dMI7>WvUptc9tCQ=?PMR_S{N1C4NVjJnRXU;7?0LX9aa-~}NX#1AD>KIb`~wrwPqy+L==A)!d3aJ` ze;V9?7<5e{$=bSpUBkM!Ss1PqBA4pb*CGdeZyBmFv*l^`gw*{G7ocXLNcx^k(lZ~~ z{o*}ClKCDm8F;mvu;mJ!WL>tbtWmRC#TpFVBgi&LOUT{4pm4M8qs^#iDJyr{97$Mj zRIcUT!Kuh}d*OS*kZBd0Uw(C-MIwU+!UW-qSIBhWW+osSa1aA5IRYkFYHR}p@qkA9 zhZwksu&5ULqg5q`pP%o5k1|<&H+QYS44gZb5tv;F0P0^tm=xybB9tL(LUEnrEdWDH zAlCSo7Z$=q`@(Wv^>gN5KC0(|sHOE75g@a&zivXFzB23raabMMTT@!0cUznf0V3$s zzx!Gv{?BF>84e0`eYTn^isN>12{6GBcNUyuKHI#t&0Ae4sss4A7~==yI?IH3dRTMt z%x`r<9bAz8bO&4*+h3S%jc=aAXm0|Hj{`)~k-VH8+xtR(;RjU+^*HlJ_L?Rrt@>ZT zRw^#UN;Fm*ra1mBg!_#DBc&E~0 zYVqqYYDY_%P87vtraBJKP*y~986+C|7>#vDOlU|q+17eH{*aG&Ld1fxw>nxg0{tB#4cljWfI;s~rwHFM#(}2VktlkxQ zkG1c>;i-jL2<^lP)UOU8c8_tW^?JvGm;DY~UN%MxN|5<`z-fCVAOyrAQSjSNI8kfb zGYz+3^8swgBgr7cEwKObk=ieyd%MTJk`cIiJ5oveytxee$^$Y!lXdGHZpn1cspB{Z zfmu-~=so)+*Ild~97on1nCPRIk12OuCEpyLoexitv)+gma7`rocr;l2{@8rO1S7(f z>~Uk{YHMq+0DA~OD!TY?%zLp%S& z$)6X4XIu?tF|&Pw{JwqmU|0K2-k8F$Q~z*ev{KrB`;0Sw;oWt1{7gwvL$hW&=zpjM%e!F^9IYGS0)SmeE&5{)b&m1Yf|1p(!B^W##t# zoG;n{u!I;NeL`B1L#_&IN8??)YQL6xjebF0X9FG$6`Kz=BDQt=WQ8$xX1EtYvqsVv zPph7#QTYR(6i(1_iFqC$l&fbC>sE~1QkLfjH1r4&hR@VHbVfaDBcmC^EV{H2Y#gxn zEM-3$1#o$Dz+2Pgtxqr?Q$4xi*f;BEX9l;M234i~nhOf&<>Aq`r1BdB5`$_n%amRM zcOKcHp6O?I?>eUDjfb-n;p7h}_zH8Bchk(`q=L2k)sV08jzLTBXmn!r3%`%PciWSO zVe24_fABi_m{FO4zI(03dHyanVjPmDRjf*QkG_5ykPI^%fKq^mu0by^uzc`29G>qN z6nLCoF^pV`WQ5%LH*y0Tg7uMN@dR#U@Tf%I zKHCl;R~jqvHuf)Ez7XuG`8S;AX5pSY2BQmWZQaf{Z&d0r(2y{QLHC{Sp)OPnkgN@F zDO;lzgv@LiUGgysXyZ-n(jXppyax5u+y zH+{H+l4<#gRVrLVdsAA9)o3KhO%{e(BMaaL_Sm6x&V4+&{?f-U>O@#2IMp_Hb7aUg zLR>{KqHm3;#ScN6v@5;_&Y=%&(|`SA5i))&>*o?6G}!H)(M}U>SK%hpwiqVJttsA8 zumvURmEDVOPi@j*LZXIAjxF+Yikb({Xce4zy-^$EqLJyjKJ50glKYarF9BX;T_iQ2 zorzeh0`Z-1KYS>AHRfG4az=g8e*b>2Yi*Mv!DY(KzP=~HkhOYrv=Xhm;<;~-^g3n7` zh}XZe?-Yzp-o_&58JA9Vp+XjEy(w6AYRSQ8T~yXDz*Za@PeffTjn2;3dy?bs#6>NH zoV=N^krBpXDu3y*oQ^$5-Uy#FD}x+;{wX9b zCjyK67lqRth;r9Z)Rr*EJMe!)hkc$F7t5ng&PTR$b@H#jbgm{sfeQTEhPKENT$5H^5`n^uWqKAvyLoXf*NiJ%d0Lx8ht_iL<*bJ$>*GK$=r1P~Cv`m|y^&(l~Andsl1pHgL5GImEi+ z_+RLg?g`d@er2<;g#{b&Mb9hWH$(XO~pa+8CT(U}hr*yC1SbJuIryvU z^L!1&$H-Z0>;_VCUrOKC{QA1hIz5I3CK|PmCYg>mK zzNCx>)fH1+PU84Zk2|}J)J}mpw;hty$^@W!P|Ju#0R1U@c8niN$4O900Dh$dHVO&# z_WqdyaTMqmzEMLqyV76rQ`bjXff`igV;2tYO&{=?Y9(gu3f-i;IT*sow z7y{I%h7(N3Agd$aoUR*lZ#Db5n&+m?+U|7}DQr-E7+1l$AapxE+zxSxRmAiif1yq+ z?mKzocpTRYi-;@+fX^Tz?(HcPPh(*vQA2!a=i2d}UvNYr-MvgAtu5=T?^(ro`hrlz zxAIYZ_KxYfAmw=a95^2y$VHkYiL*zn9KFaB?r5KGN)d)?%sa74f+4hpq&Ls+SaH9irgbOj+U8Yv<68Oj*6f=P-jrnUT>BJ4;J56jr;ur3 zWE-W_bLt}4D3ZuOxcH-kZ}z&S9mw7f&y_i(094*0FT?P4))kqwqdRu&h<;fZ^c|41 zE1`ICG~hU_ix*I@Uj^qgUxY$=-#o2#I5ISoN@wT478ktPplpAP#BpG}hgj~ zd3~Iho7*)fFHf6`a9crKm&k@m?T0iJH28$;fE5ZAIW;9z^U<_Dw0>r1rP_!wYIPF{EFQbsQU33Pt^pK>$`nH$C( z&WjP5Z_X>({}WxG2#*IxnKyoyGpPOWQA#wWr$2mnij~UQ78n@#uCXx4)XFN6-BIh> zkos|8yEg%=+OodZLm+s_V`QU!zUi-}&DLyj#31Hdj6_3UclZ1|;Ntn;zJC3i1(2Og z%g}s zlp|s-S3hXsMFf$c;82xFjWB!o_RHAhWdED4uF@0uv68(p5@L)fnWtGU>-WZ})Vh_3 zKEV)5A0iZO#r$8or1aH>fu!{9hykyL{=K3Abf37szP=8qTGWu1yP(Ky64+vMV3F@^ z_pPLp*PQ}34E+aPcHl}|*c;XMgYv=%V z{e{&~(1DFg)T}}Acc?5+)RD4B0kXXgp~Pd#b0FaFhi#k(EGw)a6phB5nX6XOyXb3( z>AuIRZAS~fI-eHZ`E`7&M&kDUCt0Q(~T==#unY9r>TAJ}=d zOa}t0^b(v*BxA>->W7ZwSF@m56ei9T1*nHmc|vA0;$T{iJk@{jd8UBOOd_@QYP$O4 zTh4hSTwpVoL!s^nR!t(_vEW&sN79MK6ecCQv|$tDi*)AU!1Wbs_bb;nJ3eF`AD^J& z;H>vh6JvvTh%R;LA61)&^B{du~mi@4WxdV!EI)($Rku8v~e0`GLPU#gODmz9V-#MjS*)!(- z5l%>(8MoHpUwOl8*I^3~zNQp%;&q0e7J>5}WJ}xto!rvWISIh$+qgCzx1YUx=ly37 zKpjiz>EgoT-p(NKJ;M(;fR~XZdpWBYU_Kx1#4`T0smNY82Vm-H&`p&UezIRQaDi?R zd_Dve9r%$%5C;#WcHG$w0);_L)B}){tgzLjbWdp8SXj_V0mvcw`sTsBI@auxDxS#b z7H9k*D1|Gu+F&12Z?$LR8i&jf4OZC&`mJ`bybw)o0l_HcI>VEinPeWg znMnbKrF*hsy0rvDGHxK>kq2b9wYindmf7ZI;Wo!%zG;qud@-)~Y@9j74B!sHdW-`y zX4t66oKj8M2JMC@Zs#dX+53RI3=(JjvW>hfbU)L^*55T%s(mnF zI2JH-7@6<1i%Wo*5Kq1?$u70UnawfiD=4#B@wXenJ4P=Lw{+u41=frsNE=Ytl*Q=Y z4ssvB)imi~gz6cBl)jDXY!s4TQ1BXJy%FdcF-dKSGrw)l$sn^u>}eZ3F9yeHlwj5L zUp)|+1`jK}BZyG9C)IKaS341K0IR<5Sc?1lcP0O zT166n^xGqgXZe}vm1ui9o)ksomGUt>3;1kLOj)-Fbk9gm9N9% zFg-g`2^i$(hxt`Dsc%D0ue@-xYFsqj=WUH)Xk^)CPD~vL?L?sb{~HVyMu^cWsY*>1gU%w=3w>ldLiQ zo}J72!3mK1CV(ezSRYHWAn)k81qEB`CqhvCHKrDmd7?`3G&p1}Tv`DrHVj|Jrr4JlArKE?F$C&3$5g z{Oat_pZ@|TP&*u1P&@d$s@E;_GpttEH+`zw$}G2H0S0(S@mW}O#&el~Sj9tV zCGC}XhON=tDXkcJjT<8}N>oOy@7{~U^u0E%LQUUr@!Fio-V@Tu(hsp4_dN7!*j z8hJw>%+roWu5&K3zAn)~mtOeyVToU_Pv>%cvG_mDT9Y30r?vLg3Mevp(YRLuYQLM4 zLk9{d7&Rnc@%!V|{eZGQHJ*amoQXfUJ@84=c241yx3BP*o7qezY57Al9?23Ju7E$1 z?#3HQJ$-#4@MGeJKg`iFo5aeyRcv~^u`HX{)tP~ofzrC8r9CH~hJ+8Pm$2k-Uqpn3f5m-Fm(Gm8!YtnK z-s(r?WUvY6@@1i$7!}}t?aH9;!H{A*ZLf+|37wr@GUsj&kJ6qCN=#!f#Z{D{#@)M# zx*@L(m)Iyn>)}tMH+IWERp*6Pnl?QfWx+RiD^yD|K!Kh_5L+I68GXP!*5;R5!3O|4 zkaihecNW4rmX_=K!+j;v>5wRI$08F`jh^@0xy9dvlM!OXCjP|mQi_J4GJ<|MvJaf> zMXyi@sa+7((6!CCVWmpEmtPa6af6Krt8eOA@PL!rN%~mn$Zn&1$^jqhbxB>(+Zh#10E;`L$-^;V&H$H>_DUptlL-)*>BXDP<$^C<6~ zZ7dW5UebvmM$2SFDcN09H>1l}#MKW8mOIGqT29Se87KHKu3ghhA@DUg?_WJj<^4)+ z5nUN{=?LYHiw%0$GdLJZku~lBYXtbIabpZ>g>e$gta!G>dV&2l9 z!4lZ@yPi7L?bhaUwQd>l-5X0E7#PlXj*~Zw%@HZ6dAoitsA}!ub>HF(12)-?eB?1z z`SA^5zYEV%L!{q#IfMiVK!+DVTfcvVqMtB32GfG-t7OfNF>k)8A@w46W~DodReM;A zK{QyQlohOt3fW=#q_z-h6xjyrquTM@|YKp)lX?%=*O1N#HSDL!%mz z*igFwM#1D@{y~oBA&a+Nm>%4`-vV$ybgkZvthj`gU1{o9+Hq#L-7+36k2es)Raqo_V zEr;ZLK>4|d8B^u=3%uITko8Mq9+YvN&?5tT~R5*e>7!qESQ6EQ->k zuE(}nKaeG>@oVE42?j3Ly{>0gk^N+IUtT)~8xP=FSJaOVSVw$0IDi~Pb>17bUrfsl z;5!_sRpj6LgrH`2)KplJX{d^bnuC1#e2nV`BR);#z27Fj#RKnu2*<3_`!4 z66GQaj8asu{TjP(lp_DeXQ3^5AJch#m#Oj}nL+h$3V^RhKbL7^Koj;N$b~86t~94 zndU_p1s=!kZ53F4#8N&n1zkkF?UZD5-z zRV6_f^!nTXkd{X&lzPB>(_T*C(6O?r>T~1|BV7aPZtT*M*Ox_3)n!h?N8mW(`6g*y zoCC1^iYUu--^k!fW`8n#tR1QX3V3 zHr{kyjU*kb87OsNWu3-HlMl~Gr%q{A9}f_AiaQ$O3blsZsV8zx&_sJzYY6_xMQt;z zuSdY;P)N^=p8T@jQca1;Z2i-&7&%JsOdXwbh_7#ziBL9yDPr=aH{)p%vEHlbE<5@4 zU`J*L`u|gN`=}!nN7;U`CZNRiFyr4cLM*6KXF6n$L;uizM!iBsh(}=uI*SC5t8)Pc zZy*AJVnhwCM`m07qk4$9@+0eq)>1P$AeDzU5nTE0s5k=cI=36j4>-yGJ9*>i$W-t@ zdKy*U`*5v#F!*bRWP`~!87u_%r#qipWv8_!Z81o?)IN%tWza)-nHFV--}!95;3h^s zcyBzg;lawtDSOke(Xj{~sv3^S_$zs1@7Gts>%@ObStlIv_EywPH~-|_ ztxatWZ^G#&>lv@c?%!A;RA0$k=NR4_r8W-OYYqt=gbWIiMv{f&$SF zG|oZCf7VSl1h<^!q-HLlHBMnER|>Ru%zrIPl(&8M9in69<-~$>TC2jZv6#(<$Mnpq z`ro}P=AeBhZ#{4v^Ftfw^>^(uwP8(_GEw2Aox*{r@N1j1VfD5eXUpsDXZOgY$5k0! zeb{YDyL_N83pM$-6pX5RY}+wrlj`&94{icb@(bF)F6#$1X{S{;fIaPuIBWDV2NT;y zC)tR{U8l3a;W2kQC#*|)?dPqHQEl#zQP$P7W%&r_Nf>AlpOxolf1+Fx3aCao z?Ctgw17|wE2TOqTjw0vLE>iG8y1jK^2sLxOd3>vdS)3Q$71+r%pyzB+;gvTzXvX{Hs^7s~SoFZ>PH2>G-XF`L^29a$A1Vv<=~n9*rnVg_HIz;CY(7kZFcJeS zS-~mp%|)D6{3VILZZ)>TDAaV(^q2#V%mbUKJik+69V(i{KGB38dMyr!%(|litBAZy zAH^Q3)a+thpR#ns#^yLAwU zw^{Z4IPPAnn2IFMr56;Pe=%_R``fq1(#Ccy1*dN7NjPhrqA+XEi(MW`-$-4bdl!Zh7sCJ&!>j!O|9F;#O)E0*xZI2)2g;I3a&FK; ztX{rs8eil&{3T44@~Q!ugvU#rC~R$ZJIxlRv}qAUcH<+Fp)v-1ha&;^L8Wjbanp^x z`^@6>HKNXfhjdChb1ww%TA_-w&i&#r^AA#n^w6>3V9n72Ap~_9nZ-q6NTNveC`4qu zwJlu=cIx6JC&|9t+-dHmGZEV&H|Y1R=0wfC&wHYkm?db{4q}A*LNOASY@KW)sS|z& z++?j98l=*0v4xed&?R)q&e(Nn(D)(4@)B(DuL?$&T@)DF9}Stg6c+mJ%?9S%X{6>W zo4EAYkC$ennVYl#XA`4L3`RbXY5H*A*pS(u;$hir80tth*3`nZQ+Z>PGW1&LeA@7* z8-G547sm0Ao;9c5(0t<3pU!Gc7ESK}|L{(N1b42vAQ56<7ghVL75v-~L9<}q@x)yD zxhv?Q@X`!f8!`1xEe*P@Ueh4?JTT|qG(T9J-@WlU>fJSTY1L_cAt(EJ)^~-R?3R1M zL9uxH4$!(6x$CZEhQoa4AyRE%KDm;xhtOaGpT~^xO2&*|53?BYpBzQODQ{0!GEY9$ z#-#k}NaY{?dXG-d^F;Q6oYmqg2~rs`XmcfqM{yFX{8Rhu6(iU2>s`SI?SvV+kx+d@ zT)TOii0GBw#`r$_B0Zj{l%hI!vAqM>e>7l~xOa6xw2qyXRY{LxJ|Qn6d`gc1ms24*{VXW}8?+dAn1Buk`K#0deol+QEaU7(;>DY9*v);6P}_c)Wh+ zR7h#v8Igalpm;-dI-437NX*~{rE00{Eb1nTdnNrrJ~na!J4svi^AC%TJgq82^n|^h8Q<{5RpRqWlT|I7 zHf@Se|BpYz?`02yUPHVjjjeJTMC|iq8cHd+$G7^b3)QDs_oj8IY)NQ1)(0Y743GfK zu7Ws;`=32^%G5j%|cPrm=m0X63+1`vFlVQ;bZ_PINuAe8{xTuEfnJq`tp-a~0 z+|8sUAM!B}syCzZ$b=@eIExOb^PqelLvJAf_#n1ptm5+M+yyROa6NhqR$c$m zf{M@HnkhaAD;)@j+N0;|ds2F$a&n|(lQL1GJ6s6nX(x-;$z4l}aS*L# z6Ocl`6MK^ngVlbY?E!77O1)#kce>%2xgP17lY3qmS^+p0Viz8H`}XY|oN`}lwN0a! zUO){Sj}v!$6GGDPK!F0Jv)ODGyo?({gtJgx?}l4wB_9A?3B z?PoCk&&$d2Gy+|cW380&-)^W<1y~V*SIx_$_$MdNcaHDKN0;t^-9w?XvorKiC+tVQ zWI#-C-`Y>|wlPTWl$BwFt~T3-GNm&^(Gz1&YlkZy_hxG`vcI*(+h=u0&tZPM3rv>s z?Cge}q$zALEFq?q)oA|1htpPwad3K2ySW4&WiJ%}VFU z3kDN&?^2#{b`_%y$bMJ{PDtpk*WE`Z*U2b!1Hu7pDY9efKL8+lUZS^f5T#+*Fx)01Jbn5W`i$0< zVU^TOSn+Q~6Z1R<_e&V+6J?BGPl<;9^{9N*oPP6uCP_Rx`Qa6!j%|2~aedPo8g7q@ zF1ZhM?R*3Stb4xi4G5mO4xZ$d-RrXRG!Fr;xIey^A!-P(PIYP7@b?YhNe%1 zv5P;sX#NNG7JbyG;-nW>;7CUj2RT3$(kWN`hPe&A zewyG!VtU^sE#yw^VA3%x#NkdEL^JN^uUp&l>Cz#L7;i#rS;1X*Drh&>JeKS$CK}*( zX^=F3oAUpHV7z|#A%=eda%gUS>FRph8Sop*Dc-I{l1bgN|9uSkCPYtDuq zr2cEix`mMH3~Hk|Bsw3lpF6VT9M6Iyl=?u;nXH7Rwc5=>cTkW%U>5J!__6p#29+h~ z{R74#H+l%U;vn!{e8A^}q2<1)p~qcW?kHFffXPUg;JcNRlmD)>vu$i_tQ0C%2OF6~ zM<+f!4FYMx0FrM|I3_K><(+|vL^&*8T2DTuLAJC3D`XKa`@hNx1pUipZ%OEF$MKKNJo*7&2&6wRJkMLyb5_KHO$>$O^2k zijoq)`?&e4U{a((w48Qv*KV_0`qDn|XH0=HqC4WKIGmd+JI8OUKo|mPd!@PM$j<2c zqIz5s)y|LZq4S3nLzhvlDGS8+>Km%eQ06E1L1A#X1QB5z|A5)k+s; z=E3Z#b!INekC&I%U6&+`$iiyx*?&8)L11&KbKGtR&S(q%x`j5R?V8-GJy$S}j^*k@ zkex6F7qsh~WsybSGq(JPg`}Qzb-t6Fy7l&_s$-)676@);{{F6&MiCNfHoB$$+V!bT zip;PBUn`s^&fyD4WP0m=oA`ftd-HHA+qP}|64ffIRjJ4jO@;_jWXvkb%3Me?B}t|v zvrw%lttc5vhNwjdp~$R+5Q>CM)k;VR88dwQdfxYYzwP(W?~m_~&$d0!cHg<_r_gb#JvJGlxEV8Q*%^#6SOCy>%WE;@3 z+Du)+hdp>Wm%Hh)WvQOH#_LD#kJvftfmIh~&7)>)G_jVRong3O3;KZ>Jnq)o%-s&V zC42VwI<=AEVbx+x0vD)kHd7VapC{`;9^uc%Q~wA1Y`smUQ5g|Uo;cJ6Ke=Cy&SU&X zsno}w>t4DO2eUX55qaF44TQ?S8Pdk1Z^f=GAK&`)e4@Jr=<_8qBmR#>>;Fn__W$$OnY&hWoE>t2HH%_&-;7pFvQbFs@gw0J zu<^o6yB@AptS9`R-#VT121>NGm>4ca&3pub&*67NG2ujPBVt37Z;_YKWr%WJTN)Wz zrs0luPLZn28tMdZ5E}|4R5Ez<0U$u!s^W%x5{Z zJMQcM_3J7aJu%5$+_x(IrH$GC=lZSuYOI&dw%$Ok2X8N>Z)0^*!T0o3c?kmbk@bZO zWQmQO+OZ0*eDmGi7AS1k;1>c-_-|hd>5_*BB~d?ccGl;4$B@k)BO_}-rhzg+DtK;= z6MTf#nKK*+16Fl41B87YSLi!ZA}?W@!y)KDZ4J<&S^9$k+zYONt{Mr46d)iYsmI&Q z_m97q6(9&iMd}*W))uhr{hKzk1h+#q@>8z*-U zk5Cx>=fAXX-U;1E2haQ>r(K+T6sJwGF`1_69lvPA@bg3;y#;EKZjXXfBlv{S1J(gt z=mY;*v})QOliJ9!i)6|s-59x4t=5T(9a^(bkMUaig3NY9rW8UsRm_7Wi37@l33-4W zi>-6pyJ1KgZ4@<=LlG;%)~U%RWGwb?>jSj_)nJ*@4*U&q(i=&L$gZJh2hmj!;NVo; zC*X}P3_n2e_eKDRvIukjHQ_s!aIcEGX8i1gYj~2VO#)_F0xX}ile_A`VjUWVTU+cC z9H|jaLmNq$x2X;xYf?!Jl;Trj4lXWgu=kuRMlo6l=inL)J+n2jWVXraa6(P2X=~~) zp3pe*rz?z1J%M@es)|<`hLhfS+r!W^_GF}{dP@SB%8VFUjS6bM1%zeX=By5ftda$~ zOlhWJpPSVYqZTGS5H@WN`glU@4BT>7sR|qo9%VqYi2mP3rk@}L!2L~gEhwK8^G_g!q+p^qOR4bk8IL=0FG;;MqP?N2;5a` z*q7Njx$?_@{`~G83DPP0OC*2bAPqz-23iBjNj|ef3|Xl!Zb_9+&%%@V(HvYYmM5F3 z!iG4f3x<>!N<8lVcHt9hn5Xbtm-z__8HMyU?!6->k?5};{D+c~gDN;0qjBmv)r93# zv7jW+x^7%hGdRoXh`2cpXn|!NkR*C*7&m+n5}Z%enMD#z{kQy!$0%T&<=rv3LBl>R zVqWU)>FjNSsFv~XGyYre^7u+2gO-zx*cav$+&H#)wad9rrmAj-mJfi5K0qY#JK9-d zvQVbr3s@zx9q?;a`EY`LSJt z)6W32)%+fjx^6%thrgfNe}TW+q_*y>YMt+Slf;Pl?-M@hD2C6HDXOEg8KSknkzQ^I zW}Fm@-D*<1Xu`U19_Gle!qsRz8sUx9>lx7`vTH)s0YE7V{n9t@Tiv`_x@o_?(-g~h z^u{eTGG1a-vS98iPL?$GUBkkFcR>eF14;O4R@U;cYIgLv9nYMjPqhFD`a3ba&;8YBiG2A4llNu3ykdzo<_$XpY)%0Wf^N<54)2zRb#!3L?)QwSCYSj{KPtzy&7}|H(-xAdW-L(C4fwTq(f2pI%DL zy)yg*=+~zWS!B496xW@rZ<{OUEruM^Q+Y`cWuRkxMZR~CHN8Z(sIagBf>c?z0)7l< z`#&AilRT4aszg}nG+Tj6J&$I~ciHmgTj1D#$e^?N+l9_<%q1^*^A((0O{Sbtetg$3 zM0<^t6OcXbkTT9P_#&mi{u11|ArOIQ>=71sD$16Z_-Xuk)Kpz;UJc?APU?VBWKI`g zQ?ZhND}&7N(&NLZ`En1@O z*E5aE_Erh-|7>bE52{d)LF$zE0kI)GyK>jgwF$2|cEH18Rol!uHs&r^&HJ4&YFUp} z@bzKO+|DP4V)y0j*Kt?x*8r0brebSYr)|74FNZoIEnDEyRk#7CFbV()`k4Fa1!-w` z&@1p+vHBi?E&r?(TmHs-7VV$Q%L&9$U&X7hb#Lbt(T&wfN)ChYAiEB;Q^#nV5A0VC zuv0`~6dDGQZxFN*$d7qZMwtQH*&zHw#WX4%jyMUJvxR~36Pg$?3rd~R%|oZnZ7=IT zb5o;_%287>T`vUfevP<0HP(N2;G&UHq+at23Z{G+5x_;J(L^`lXC7pXK%)~6OHG&# z)9wfx8CfFHSI;;Kbg2HG#f2%&;T6P(wzmH4lNp*kLBe3_@HCE#P{ThZ+HXeG6hPya zi}NhSTQn%h@<2oEE2NV#YWN#@=GI2Zb$uY;A$YQc2!s>XJdQ$t|6+yL;1717Rr!V- zx3shp?0W;$I4|W=DMA}@?jr*O-rwNY*0ysp00&79057;2YlsPWFV0UT{HxLP&#Cv` zeewl~b=>rn^RzBG;M1I}(`f15VzjMyY<{X|@d)wsqnu<*bMts-q>07MM~Srr%T?`7 ze(6L;g>=bQ}AzEI-ZXMgeJfw0f|EE9K|GhpzG$eRQU}WD+yc_sY zyhL030luwwZ|IRZ3NTC@@AB*!I!vmsKbt#(@nq{!MM&5ctGr+{9w^fd#ZpyCBj%Hx zr?a(DYv7@Oa03^xchu`F*Q!+-sN!Vrr>B8}JqFPawRI!tT&x`g=4PK>`pt~l12Zeb z5S$|B4Ab08tE*j`-Oc5Ruk`}GO4ug;9iygOTl z3C%q{i!6@rQ^Np|ZoR&|u!kFN3zkGVx?nr6_%+-smpTD@=;-9zW&BKmV$_1NTbSku zI6o_*ZkK7PpZBW9@z$bKnYYm6NmqF}1IF~n1BVa~z(grHY4tp?r7^+pP!bFafWN$t zPO~D`?s(*cx@kaj($S_OrC%c#0ms||X8zSrRgbIUK4>o8A%`DtVZlX$n>U}7f^!(k z!}Bl`IwW?vnK{~qqBqk8$>f_uoOUD0tN`3aTrnSbc43KL86)rsEj;JtrLdHc#oK4% zT@;e^4EU^~4J=N&Fpr-4)so(ej4cR_XK>-`(VVu0JHzg!R+{dInl-gJ)b#+2=7Mqf zUm=G#_Fl}2n6-y{TuxeA3yq9uuosNE8Sw&By$5?3zFmdxdy_=FQC+@V1jcgjs*s$? z)tYTc8RwFmO}JF?aMr(T9+N;|APIX&?TefxorM(r>hF9%G+@z8 z{_6qPjeYxpB_1+Q)c?9k;>3q`^avQd3BqK;as!9;9m6l8T1^6oakS>qL00oPruH6^ zurp-YwKQN0t_O6v9?F>bKKVwFVLP>!jhDPoBTlRk=EM5pi&O*=UnrD0DaUn?>Af%k zJ58tWohY5QQ{Gi!E3o(Snub&9^hoc;W$+dX&Uh41FGLIMat{9T@j8H zw*ZMl5Z(o@@qH#B6Q=cylqDCJVo$O=t-x=wE#$icY*ep<+`fu%S%&=csKH2(-K4&H z`){k7&ajeJ$knESBvuk+?TDYU#cDGh%NyyTt?+R0g?sc$b@FG#(;WsA;DmVp)W0yi z8NZNWID}bpb#y7b7E??!KD)zy$L{4x6IcGJ%|vX#@}-RPBpDA;ot zU*w-E1ma_g*QOAok$QOdi??KBGn_&98&~no>qT1Hd3?@*Gqn+7S&*X4Jqg!EB%K|+ znj2xMgR&#d7_`9UBD%4!WbPi}Cz4<9^_~3PhuRkJ>G|te;7?&v#d0^J8w%jEG!rg0 zX~F+w4){*XO3c~<5&8NY#pBW1PzG$~x?G@|oSO05g~EFT4av*_gNSUKRE+UQpuP6Q z&&5#o>~&l;2IG*Ss^K##M)4Z7PV}&sS0Q$9QE}bP&TkhBKL1f(e1|hso zF6weu=0nw~0(5;BmSHZa`J-d1(i01aw|4*+?mEV;xTAYXiG^dQ_#=PAc`bK`))!dm zUqSgDNS=jl_WEkqEij=kdDIr538KIzq?P^W&Q~)F%(yF~G1x47{PEn!mrt*L{%j2Q z+AP?{8nE0#;Gs|gLA$(8oTB?Mb|ZJ`gXpGJH4IK;LZ=qKJ%g+7b$&y$hNU-iO~-!D%2M)|FL0>u)?UjQsiV`23f0i`<#o%f-B`6~6EA*1IxNcc9>5Wy{?z%qSW6HWYO&Jerv+>Bf5SPmM>#$&RLoWkGfs_uxG6VG0ln zjJ{aKsM}GW1P=37cuE*^@A!T}As!U8U55<(4jV)mF&r{QsgjJpMwqVrDqJWrY(FPg zn36fjcgD24f5JzKa9hXPSsG!i42exa5k_v;>w3Q+;3Z4aB_I3(7S!4Tbq5SK&nNcp zpW-CiFl}CBp#pg59nSe#i10{+gNurZPZSi_`7P(??ZqRZUuksh48U(efJ>#m+=FF%hC!z*aV3&$^r1VrtcRM(G*`ooJ^% z9aY~G_UpD?Y#y9I3e^scdn9VP9D~IDM zFRsuGgtlAz4a#pOc_E5I5#_pR_>)My5Zp#aYCx)bj;1urucI8oq8JBfbNIX};}U%3 zl3LEYvzL1_Mlg+^k~2ASh&ljGAXKRV_iSfY#`pE2UQIYI9*M*8v2vr{I*rjxLy7`5 zHJYiw*EpVI&7(>LV*aFkm{hqxG`&@B73|>B$;x?!`!( zDP86EsNeo0OpF?YX$OFD9z=OR^~G_YJmYVNKK~&BI7U;VdP`6=ekm#{DpQe`er_Dq zi_oDAao&`OrU38*4#Jk=Gk&P$N31b@ddjPMc zi%eBK{`0a+o}K|LM=GbVYB!#0*L0JNlf9x2F7&CM084_{LfpVDgIZi2PwIeJFNQch zz<}2`xvPN3Fp&$N9?R6U7|cXgz_`56P(``1=L8IyH!`@ygP(dgesVfKWtKeG zwa;Ko_yQp)Thd(rz%UK(INm`;w9l#^T3hq0P<9bt*U|K0;HhF&x`re?|I@9DU4#9n z36LVSZL~I+7y0)zH@g{$M}7em6sAt9tXo#m149F~10+`*6Ybznqijh##-Toa*n3GG zRg@vl_=i_}CaD)dl?c?JWz7T1unaqgWif;zP47Rk%j;JNr&r0| z;k>?t5#hX*Mvs|*qe~tzk(V-={=UvN{KG5>TxiZ3>YAjyq&TdFq;2D7;75QV5VPk6IcO@~Do!d++)*1#~8 ze>igg7kX(u;I_+c=q}PGjq=-Q9-D)5x2?O$ArH2+0pS(LR6v%s8fp|rWkDCgky)eD zKHHv+dMO9Pol&_5zcdcNv{$*Yh&3C7J+~5!C{$sv_&9v~P36V_90Bf0Nun>4L@g>^ zV~>yuKq`h>`Sygo@OGDOml4jZcOW-AwnOXW(8YpD-p!kRF8yZ>kBnG~X&J^z)YSnI z<`0=li#8NrN6|%?P}%bF{9r?Io*?UQ3c80$doS>NCIG+!D)FK+HZbQjeG%s-J9k3j zF|hPj*PhMY0tC$+sA*@MZpz;D7DZm0xv4{2M?dC?7aal4sZL&v6rYs{QuV+ruuqin zb$q4)>a;T2_xbHxX~p1H68fDfFBRgS3(?ZvCUM4c5>|-TNJP=K1xOwcr&iVrYXt91 zsGo!P9}{J`Ullq+=w}TUI{KSDwvRbNvUf#a^hr)q7KAp$cfoRuoh01)&?k;|$J)*B zzzX3wkh=GX1m8)vAH{gy4Q|I6mglTCe432d%YZC_c<&@qc9y7Dk7@J@LHbH^${K1Ws8^6bAGQsDKj{3Eyf=-S1 z&8-uW=VcuslllRx#CE9RZauFStUUCJ!8Nc0Tk@{!Pb*7WB zz{Xg|_OnG2v=5RnGN?upA{>Sl$YAP%*wgSS?qhqqX^7o4glmCdeyzo19pA3SHUG z%_m)nH!QDlZsC=A@1-cdni0NQ|9H)?CvoleN=iy2@BfwBn2KO2rNiR2Li&;YmkD&- zh8hOW2T203yLx6~VlrYbu~Fnj5j?B82`;MG^t`_utTgg%O@n2)+*nc43rV6ux$P1! zo&M3$XdHx^Kgv+CRhsJnss7i%zzRvr(&7+9#iOn6h{V3}NNV)T(=2N+*{bOu-@aLcs2++a(dGMXOUk`_X4g`J(Ux~O3~W;yhfdH4Gw3kk2ZAnjUZpdl~$+-Gi)kF%~g5h_G2zF&$^kL*`nx z1}%IoZb=d5&9pv*qFX8YIX{C19LlI@yY z9=QIs!CknqJ^T34;C#nqKFkSjL-i0&9#g514kYjCXmM;`8J(7P@`tyeD}VKdTN-hO zir*+_ur~);H^6FY1ggAN;1%sCF{5m|$hRu1BxjwZaQyNJ9|9Q^S%=_rBV9UryS*z& zir|&ghjjH|CEbTpL2fk{mmhyv^&0f4p3pF2)Rl=g6AfPJy@eg9?ywqytnWLJgv;RU z*b8FRV$Jy6X$^zVpm&c@f%AEHH?sZ_pKuZ)_xXsXI&9HDUcY`VkB=fBH~Ir|rK!_8 zI;&pIZzi!Q$iXK56K2|7JVEhz$+08vGOT+3nJWvHtt9;We@w-hITvV1>l|B7unTis6)FcLxHrmHAe20- zqb#UahMUleMO^I~C}aGkqr=mPyH}E!wpLA81eIDz-yq^ulBi|A#GqJR4k3JrMu;8=BB4fdN(yH9v~dHI$8;rJ^h+*<_d<{G#UvJqAlL93X`negYLrxv-iJ-2rB zd}z&c&e4&kFUkQ)ob1RjjG&fjgnEiX=M=e=9LzP_vKa+;Fu&&EZLEfdZ7kg#&c zx6JaK-vbzUuxLq2q{NMQ1q>D2bDq@~uLB403-SDFRO|}@o|}gz-LE!W+_-E3zLxUs zd6)M!j$838v*r1@Z)yP%NNb4cd*dVB`r;L5&Avx8T}ynyUi3L{=plaR?c?GT;aFbn zQGuc4v2C!jZO!(@X$(}qT+1v6;{zSY4k^4(tB!pO5U!<7&KZT(S4KG^O85bGi-}*^Z7x2{OM^#8-Zos?5zZ)V=}@94T?|qgI&%IQ==_`%iR^Ecq>sLUsY)FFhb+K_ z>l8MH(Dgdaj-nfH`NDoi;qqb>)?{gJgQCOs&9^PUepGA~sm!~xeFY5lAraIaQl;s`qK(=jfL4Gv=*MIB^UW-7zXrr4E>&2E{4^%DxxK!D&b+Oj{>5 z#V#$$_}+GjopH(Wl&fPfTxkOBW2Df*sTGZ`rA%?(()dnVd?>KZxavd~Ug*xzx=Q@a{(d1r6_@m;j zs6g@;kG>4->rfa+;uN)fAE%U$cXYwFV{%Vl$H&f|9!pKJuJ_NZcNGuOKmG&%uo_vf z4~W2>W24!UC!&_>G()zTAPZO+XP@I%+OrEBwu>=)9Xh+_vh8e5qk2_g#0CM!m1O(P zl>Qqzu6FX853_c2uWYfV>sFwD~Z=L5Ua@3Wbri^GQ0>W!lKY@C=6xgcAq zSR67!u&XBXoP5h~Rx9;U9WlxkptsAzN`>sJU?3> zlilS+BjaX;3f#)WzhY~IEi^CSYU^|7NS`y!Ifv&o$Q@7@~O_ z`FMIl3X`_kQ@L|>;G@6Uo!>tzVTra}wKZKWeCDdnYJ#yxPEmkWtUeu+QVf=~nx87RX*$XgveIdeF;O#!U9+_g6Tl>6_5+jROf{NQ!?$v>Br9|b$8z+ub& zwcs}Qp!r^o`MqL7<5XVHNWeqecGk^OWXOHku&WX@v`U_XH5Ej?*a}X!M68%Dh7+H}b`c9hO|F?Zj)w z$-1R`Pt~|9uMHhi5TdDyhq;)3zTwodv@gDi+WVH?5^Px5Zd`iLL#w{Or}cPW z{=d-Qzv(7^P=?goI?dZmdCPL;w)oRzN=>6|!C`}8a)@%HTb|Qm<{m)>&JyA|#wsNn zG}>=j-#^GdBoM`XwF_>1g3_fKPcsMC-;N%B=_-%`Lpe+A9(E{tFn1`4vMtbbwqPoV zKD_Y-`}b9$-(850OpuN5G&eGu(6N)bmYS8NsqfR8)hbud(Kl~kA`}20}&d?bLxPXQjY5x(M^vcZvQ`w~&4x(-g+BbyY0Gt=r@OpiO>( z<2)T1Y9p|H>V|WGC1jf!k)a1@IoD}JRjFTIsa}_{(4khlUCeX(CsFrh_>yE@VHx5; zFn78J`pG@`u8y(rIt=SgIIS=|L&FkT))(U@u<4e@vVB{YS9SBzKIk@V4Tfvc=o(v_ z9u0}QtK+Klmin2`&n_)`rYa;~lDKU5t*}*^{t|Qx#2hZ>36buO$!rlmK0>b}2qyow zC78*Y~O@ms)vAO+=GvWEghRJG#JCWMv5v zcAiC@y2Y89tHB#qZ6v=hC-J3hKJU2an2agywrdRhY;#(0%Q!TmCQ4)}i z_?_+c+UL40YE?VUinHgqPBhEiRZ0~%yDlHsm5;WebT4d9;h~X-2LCY0UV{{OVt~(>DsqB zwP6eDz$>lRL+4_W3$7M0Hwct#J+!`m9WPPOHrhKlteL=}5q*&hIuMBwID-A!4SI`6 zXNjvP9LkleymA$|D#-L~l<$vDNbK%-ZhdeNh7Vt0&({jIUPnmf>0OM!n)+Ydy-JaW zejk~jg79=iiLz!&=phjYny({6O{OlN22XtM$ z;m=)}RlLrspwc+SB)?ozzF+@hRsKZ`nLoe`_#^&i&!Z;;t~-fNQv*Mg_<4?|l7zA; z>{XEh&Gb`UtT?rMQ=Y_NW0FGlvaJ+Su7r|D#Kx*N)DPRWz*w8*%NO{ZW!ZzkvJT)9 z4I6>BkEYVAAN(+Jb}yRD^#O=1k>BuepVN6+uE%?$>*6Mnn~?FE=T_)vLZ4dQq_8l} z%n*p1I!3Lw=R(^X$}GJ*QLT|q9sFa zk8iN**td*msBt^WX=*dFfe|sLtoH4`k;P)jVps4J87?GhIl;*6?9BLQ_Yw@1;%9Nm zP9Gn8pyz=Q+OI3MT7#6>bDEBnI>A`&osT0&cY*Ebg4mli71CeNZS$*N<<`VqcU^Q7 z<;b<>0DBCf&$^p%_rAQK(COSUc{-Gd(gE5d6>;YN6Ci^lvyzp1ERnwo47U!higQZ? z^O#|3-B+7(?Kg2;u{~NU0Sa*!W(3s_!e2T47L+A1TpnB}=fsZw7^odR1YE$Rvg>jt zNw}QHWU}C5)fXhed|t~NB&`2sXu%1T20f>act}K?FIN2+jIC6XpI!a!Z;fk=X+)Fw z@unw<;I>HAg61%l`9xLT6E)l|b zaQ&UNl;dO$c{eWIMe(d#O2cHzVR@%7+>%>7CF;(L}vrMp? zpk7X{J$WS9+}9C3RqbjAK2kbsJ)gehzK#+JCYB} zFT;2+$a-@3)W$|>?T);Sa)5aclWr^AE6Uz?^0}3|01^BB=}impOB=a02G|1ajxGce z9O_m$gSn{oWx0IbonZ6$IfFL!y$#PLjO=rbyd+0rJfg{^;2Q!;TrTfhw^*6E2QZr0+Ih@Mt-^VHOxQ3 znDjjDgJ5B1+3<@igQE-EG*t}YiC^$gPgAox959rWq*}P+aA(0|6rTBr%h+;;=Wtjq z;r?onKa1bUC=AZ__3*fN>w+abg)PbN{k8=(VX8UZa z=&ol;9nj7Rh^k1fwwd$sj)QG;G)C^#_{=26r8xVT%*E@nUH>3tPsMQW;+L6Y>Ll+? zHe3>Q)U-5JGm9Wr>y?@$+NfaA!-znZ^`5$%l)|YV@4mSD%nxr}PrWujLIj?d$I#il zS?;wd+0-l^vwTzBL&5v1mwncy^Ts^Ax{%jy5^sOR-#g94@Wm^^@q;SX<%v|j}*k94$0wPl&^*9|wlVV~<+ zXQ0{JL+SU4vIRr`?_=y!?_doCuGMO){i6828oHT+I>UV4HD)=|GPP<%V9u?|ma;~n zF=`Wsb>|F%YsL;?G~rQIlMi%`m4TOxL!39$Mr{-2#%=PFx*R}f!z3!gQcw1ktVbij z-s|+0E|PUn>)m;4)5$)r3L%|l6k-!FujZ%p=Wl4pKi=0N`?;sHuilkkh&C-r7jcPX zjHYJCMu(9v!d}$M^m81b)8!5K=lWOEWgc2bG-UL6etUD>=9T~{(M758^tGOm9`Lmu zG%-_9HZp!sJ{1jlf2gPT$o3{acmSXXb~N31B>dAMu`X-AnV}~HBm0V&CC6b>3DhxB zwmT^AI3tm6 zcDnwrsT76ebzAmuv2#`2h@78zmfLW0GsL6q`Yb7lB3Rd)nGaQMT%k-bC5r*k!vP-e=At@Ur$2iL5VQ!g(J%ESAErHd|UgD<)<$ zwj@i-Ezdy3>fAq|w32e}-Gk%5LFsTwj5tjnbpa>EqoZOiMNm#>d{2~ZIv6$y;KU#) z`Xwn*>#7hx^t);Bbt)TE-9-Cv5dqzArM1}!IfW(6oE%ZT^D)NU9O{Qj=9_LF;v(8O zh`w>jAJY_L#PQfXAm>P|ySbh1>qFF+CZ**vYw2r_R@at;z-6k&=XOUl*@4)yhiFsd;SxvY z;PD`9L5$Jzwrg=w`aRKfc+&I@xGQyyjO5J$-vq-WT5~O>r;;Za2UakdQimC6h4jCR z{GRtS2jyY%z6N`zQ$*7S0C>InqCfH_j$Yh(Fg?!go(qy|5oBdVwcpD)e&(p|+co#r=?Xktt>PHUE|b4vf#7g`dk5+gDTiXkhwJE@Bf#4u0s^*zo|S zc$h}v+lO9Dzt4b0D_lF)32z6AsGjGPFU{p~F-iM1Ql&K01NmB5hpPfiOv&VZmE>as{_Y zyIDd!q{+y8eMD6+e)m`BP_4I8Y{Xdv&)wJF2`BSKxTg=r)}NK)2;}3cIKW?B(D%!bg6gq|-c|9Oi>lsk-v{qO0G+y}Ky_lT#tApvF|0Zv!H_s{HD0gKEj*n{*O}=X> z?0HTjo4J;Sfh-cXD{qvzQU>c>OP1c}H1V8=dfD%-clUB2 zI(&{DQ75A2Q|)7T35DZiT9(MY8V*9@Fv)g>urCaywqbJzZl_{mS}MT!Ezlf$oiRUB zwzyCeT2SQ_DsArCI%&|Ks>J6u%2cLN3y?8cw3#u|e7e1Flb+YGuv5IVRsF+WYD zt448apy-q$lis`g`Oq2BN4D?2V{5vP>oM9eb*jBe6;DVdM4~%C$^Fw)CrzlQhFN2$ zVM%U`a5AnSj$+ff9ljmH`D);uS#izO7@Tde(^@zu_v_1*5i%(;X?#=irCAO?R@x4FrTQ-k@zRs_itLfYI=K+b*N?T+1s6MZEZF@Rh}9Kj@k^v zfUUGSL7U=Ga?ZWxux`|lQ&-%^sO?*8;G&+Hk@dzGoAU4|6g!MoNb$Qq*tEucbb2$(F)0eek^@M_j#rr~I6#V%n5X;2T~ z8gJyqPF@E^aRxN?KH>l~cE6hhx<`c3sco&hxP{Wsgq_7a=5s5FKSzy>Qbj}D&~_)l z{3*fuehX`?vU=63UQtHbG6MODp7OlVEm<2NFTvXbH*>9fJa?P}9XSjAcdC<_K0Y<`gdR$ON0 za3J{Fv|~X#F!vl^1Ixq29~=&mP918%xG0HtSb&Kpo|g$!WqL^wr7MU(P~v1P544;2 zR|9}I)CvPLS*m>p)bvOq$JqWbM1kqJ zunP=Ag9a8-&q2}{+{K3YkWBAR9pl=;YEGWV0gcpuE&{Es8?36p*IbmNRdcidqy zJ7ohjvx078tQ71gBSN&vpeDBsxCn*#s_NU7Ton;zM|($BF)gZj>hw294?kgGUyR&t zkBH8ej)Af20SlurQ0VdOr#h)^5< zvK+3}hN9d{)X8six*Yd&uf+V-U$fHx)G4}aq_2SRU*r!6xc}}>$$#-;?hg6l5j+fZ zC<&NVu(kmhAxU9RlLD1&H#p3{Y>5jS6_TAmhHCh?_pbe_OhJ?O!J$keb=1vQU zx0JectQhUE&f&&CmG7+UNYH-@E@Gskf48w+trFW~%qF#D{O0SI<4K6`H zjFW%xOtoQ63flQ;>pa-go3ux2;W;!!a_r^18|43GE$&VV4zAv`RPVszx?|2F(CNt! z|GtRi;DQ2PGKB?rxn6a{_+4)A-Yc1d%;F*&Ishd>?2AItb+?as_9?7}(yeMt+$00| zT)OvOdHHLZgCBZ&MijF)bM^=V^nHAtqAsH|{`z$G^d;mn7#Ov9Vxc)v2bMys!d8CY z9Bln<#D&tdH1j+sDUU2T@+8>DcwEdrQJkwUs+^JbD;EFK(h)y;B4`G5?ybeiK0j3xvtq;+BE+~dZS;Tpe~s6XwU zeIm9x=Qk%oz5d%>BZ~{Ey)jK-=^Z=|pcB}IH8z?bTV1^o%WnMJ7F3MKQy{TWGi}?y~%{czp z2LrhTUO_?sw5+U=ZdOY05`@0NYRkM;51OgM{H^B&7#~9Mc6Ne50HzRUnZe(SF*ie zTwQM=N!X;w6%K)Rx$V1&SLaX#FM7Pu&&i$lg~_nO!}^71z|J-HJ-mDtpy?<~YrEp> zdLyu-uJC+>6?~jN3erd(r;VS3trvJg=@@6KBJr6O6}<&qn*^55>FeV#sPP$pV{^QY z;tFoYB<}#ym(UHkYD=tn-Tol!O9s@Dz^2TOU$~74xH+Efd2zI+)1B3L0%JJUcT)$N z#^w^YD=h4Zt`h+Fel8gPFe$8?Ex^NbVwQC$Rua42nOJs~0J#US)sKQK(F`V89R_f| z>Z%E4{8UcfjdeM-xDifhN(Nw|lSvS*%U`>tr3v1zKbtj-oRzn!eUD+_^QtB1886Ex z_35!Fc^F*#;O{%&4h#U4bq=y#twD1CRoD$8K%>J}fK!6}{IQSizih*=(?j)qszUmG zOlIcp96;(W=ww3iL_guz$^wVh1E#nOhuCVM+f=&dOG#}*Hlf{;;!rtvaa%2f{8S=R{}DiYvukc#(EQ+M#Q7b8J?e=WB<%~ zg4B7KmZ5a|C1ILkZOQ#c)H@$?o=rmHqCqMMvNiqG-K}aB^Yc3HlEZ}y&mACDT`*EWZKZx^Q<5Q;~M@A6LYWZ_99_Jw9KOq3I_vQ-e z)%fgl)_C0P|M~E%SMP@J)FyZ-97IR|u-87i852Lq&LN@)p>&NDKS-^twe$cl(hms! z0=AMU@`N<_i5GD>YN(P+H^jQW!|iYI{rk{yU!8htCnr8>so7+45l@im$luCi08aZp ze9(Wxs~6A9&wuKb&&01f?dIRto86Z? zDzkMVY((ZKxeQL>PiH$OWk6a^1=r~k)&}H&0z>h&Y`O`X1qDN)$iZu~H~>`T5@J31 ziBmDi!{iC_=AphBGlHSlsB%YM!i7?kE@6tt(aHAFAQG->==H@S zDb#K*<{)Z^vYN4S%xQNxk+;r~lBG}8Gd9|Lx2`vS;p??E;l!P*O-26K($Xw(s1#nd zvihpkXMSQ5FNKAkSP4OODgkfmD2%U*I$aLzkFqV@CRAP%E-xG za))bK5c5?E3POoyxiwn^1csIb?t<#rMqR6G(FO0!b3fI9$Fi9V+1T9<(>}NY^Yj*- zF)2WPX@D;lN<7|5J61w9-pc-&KNV}TmIMxVHaw!B)@MT#k{L545O`EEKHh+FuqlN`~w^DUs`b&$KXyQ z^^}M=uo||4Q44F%4*vri%EaUk4YBKJs_1nNR#tF!REEyn3qhtx3^}S87yn1wB22&z zNMtNDXer1_Y{j~M2&{08I1^wQ>ub&h?Q=fjy8X(svRrymWlXy5Jr0_3se+eMzvUkE zEbwz-xmu(RXUfW(M#+!A+FiFtJ4S8=&RzguuAxl4P1Ugl8RkVEjv@lW9H`7#z65yE zIE!8MEO?mpW4L5Kk@voHo)45fGjEcAdBh56)C!b~osUPnKvLNBIM^+a-BekZW1BawZNWkIuD@K<{kC`|(!0#Vuv4 z;$a>2#YK7%a2M{-?&_+C?TM)cst@c58wHHK=j__@=7X_Li-k!`G)P0HysRSzU!!I0 zHpNBTwJKTPYmk2bruM>`HDOZA;sY0U0A+`0fo0bLf>`X=-(Q{-QD$-KoWK}`bx{&^ ztVdH7XKvvvQp&1FmRth12z~^Ltn0V=W@XzIV5ZdMcx+7p~1VGa<6Otsl97N)Pktg_bzr;U|AIc7~774T?O_aUt|b-)+YV;XZX(t@yi?q0dFmEc_3hmfuY-1 z(@h74B2>!v{H;BgU`nn!;K*lDKey~}rx5u-eBggC*8eQn>u=V7DBB*v*&qC3k@)5D zg-_yOI(_m%<%SQ7O_wG6+u8IE^r&MGx|KP23eEJFqT#~2XIxW%rd?#g6gz+owhO1i z>^RfHpK&oeib(ka=8sA)Hjyx(NY`w)E9>=Jq%@z*v9JNpgZ>v zJyx5&V|(e4UTafg67Bb~uug>V44wFe_zRd4B24YuBGTS0tusPKWC^RW4U z*8KT%73^L*KvbGIj3BEPJL|Q;$^9Y?3!C5=30D$3lOW%@MKBNj_JW?xz{b@J9l$x; z=}l`v`H>Yq6Db;^_x_=LSO(YPGikd9{sy`F6q0Z{&W(x4Zyl#$Qxp70xy+DEiyXmK z+edy&2!dz+=hO6&KJSHp5lv@_KzL;_9k?oqaYr|0%Er`#v0W?ul6jbM^0+D7oi8_zOf4d5CoKigfpAA& z5ex91f*#irrV}+s!OvWN96wz6UJbuU$aiF)=ATCl{PbB{ul=x&Qf7dm6xrBkhCs=% zG_Iay(B90d5)yD>-5YrYtOG$ov#RwURFqYhg7&g^)T@}KO#MFks-0Aav(C598NULq0mbi0dd)f+N z_`!=n6yx92(^JMp80Sj(`oP*X5gn| z-z3IR`YVB{r2pTKnlqRPwdV~@vW^fOB9hD%{eprCn1Ja;*?K36hKN;2PhQG`*-Wgh zsV8(D%P9Sy@pd}g`gLtFxQ#{@q7jt-T>W?{zJL7Lz_!^=dj!XChb7KGpYQj$*@A1z#dq=rw)7RAx-bBr23^4yW)>U4 z?00lOx6bt`8>9zQdsc(rWLUq==w4umlI!ExzuvteA>gKWvW(MG&M%P(5Z|uDz)yW zTwZ=YOL=G6W%w|vC*go(3FeVpAJ@pX(KzJ-P#tjXJr&u5rUY z|Cm10_TJgAyV%<+$s=CgMX>v%WhTf188t(09plc5MQs3~kTV>R#-#}<8ceF6v!>}j zzP@EXb&~m{$kCsT35_}tJuE#I&XwCQC4~>|(`kl#(gCr`tdhyi`6|i#L2Ybg9F5im zH~e0w1GX|Q$_>~;5yV8hUBU+Q@gNr28e8)(XzJI%r++FsWg-EiLJ!~m#NcGua=a?o z!?loMvDgB;B*}X+L0Y@pLafSvN+yT#217rstTe>WK3KK%9b(MQfHC92W7-z}&XWfi*LlRlmk zz%ZYbD0?Dml>rr{%Ua@ux>$)sBz4sRE$Lf0ItE!bX^g*Op-gAgxAqWO(CYlC<&?TGOgyyTGC`oLb6v#*{X>aQA}hHArzqqS;`ev!M3`@UYqEa&+>Kg)5v526&8RWAlBlW5N(+><(5g1*n_ zwIwIZH2ZfB_rm2(DK9_P?057Ih87@X0yu~ zi?#&di5G+ARk91ylF*iM4i=#A+)NU`o)dImru@#G=GKy-4U|5Zrc+-u>N zEL6=;|C*l9Ji*R!Hxdc=!SWI;`Etn6!s(vk9LI^t>+f6rU9j_G% zACPUFgG^KIggT*rYw(YYcMn#l8Lhl3%pzy-{B2#J?I1xW!r{VimqC5j2aWFTFy< z4hsf$Httb=4^eNon!?xllVmAXw2Bp+$_wi39-DeyHmrwnY!Rn~g_J_Q=)M+X(m4`U zhg;?&7#_fkpR}^}clysFkkEQQbKf2JH~QtRIIyKLT_6iTa!dLggVUfWlh(LiY+S5? zva3A`cd(-Nd;>~fGI1aRHV+r(X43D3!a*BYg7x<}JP=Oo+=;JAS^95C1?QQ6ql^8^W@(ZO7RGH=O95IE&YT z6J>vid~sag5my@06wK?vg@`M`p^*VfJ5)|uhutlw`SI;d~6cnR{J;(hvS@rOUZa$kbb?hJP58mW0s*48*x zYcbttLh$xF(+b3X-A2E#&)O0w_q*{pt*Ytfm&uL+s{`FJC$Z43Ivn$?ET-QDjjd$aCcefO=r>!mKd>Lqw2x|N3jF zxU)X`m_70R91>NK-DK0~_ZBm%VNpQ>#`pt>^AUD_80W$)NYPSh^qbNYi+lIRY<%m1 zsL5=$SvK22`QkxAs@AhIuR6;7SjAMt^R9JyDe3#>NDWrQa27EJ*a&rMxwt(m7fc>I zGV81-w*~zAWz>HGdP0Qp2%aga-Yc+k*p5~Si>YROPyGHKsxC+3%b8g9`)fEQ<^bd1 z&YOMC8!z7<{}Y)`jR&IS(HS;dB++KyjZQXtaXT@Tl*`Xo<7tm5uwGlaHQUi3dOPSysB|`W_hQM04vamQW%RAD0a6VZDHmCwH5r{@W7OGa4es zR#hnsW`K_d- z8e)AL+O;-mi0$TqKAz6$uNiINE>~|bhDP)d#Wjre0@3!V!?!jHaZ1`fxuLlGmZb4w ze4EZ9H|1r??c$nSv?hTw3i>Lf$73w=?ZH1DFb%-iV;$c=2!Qdrw+}$NN&~k`%2~F= zONLMYC)%30n>%i_IjGKHZHw#!~BTmT_)ce-}vJSC$HjtYRhw*ZZFfADa zJ8{pLcKzhxlZ)Z~7DZA0^4jDBGJT>?TSBa!2Hj%57}D=z;QS7f*fHm-ijIC?i1Vtp019>tp(05$brQQq`)* zKojp#m3G7?<=W;a~U;b_)>Gpv#TjUW?mLkdIAv=virgzGBz%*-*qK9A^2;ZRv< z-~3h+LxXm)#QV+BeE}lj$1$BT(Qg&XzK5mx${e%QKXMHBQqT&Jja@55#JU76YtXi@ z;YeV-A_AnMKlaIUlX4c|1S7LTbfRsomX<@8zPr9;s2$5&+%Z#qST?{`@Ui#IqxYwA zi5!K2Kdj?2R9j$49hPkrpdTLkZ|c|B`Cr?m1@$G{<@W*mu18wU^C6egg3BGiZAln| z6is&EQq>)9Gi^uouM7R@5&NzfEYsbSKI0G-XdRD4oI;eM308i{^p;R>*i6#Fu~dT)Xl*SXUbT1?wMowo zshQ=rPr=|66Kv?1<-#nyJ1gFp^F0vcjOkrU>$i0MM7H!&I@v$&3AuO zSmu?Rp?D>A4d?r5U*)IYNxl%Xx#~(`mRi+J~lE zErW5TWcn0ziEo?7 zry>%knG{o~)Mk*cD66jYRm1@LtLC&Ryuij8T>wy`T!&JB%-^UhV}fS&PjpK2#y zYOmCz5Q-pZZ9R(ZCQrNL<#BuY$!1@R)E|3j-IKcs8sJePLu z!zswys(|VV!FOK+d_g8&EM!nGda+${L7=#AA4*v&K?(RXF0q!vUoiwH{?F0HZreyR zq5I%2;$|FD_C5fVk!ic{kWS3$HkKrrzL_Kt<)?^LyaYrKWU(E#@l6;o9j!zb?3Igb|~n-EK$9|F2u4u5@?WJ zAS|%SZ97yTc0O(9Cqm1HM62RrW-yY2J&yWfj@jzJFbF8!Wd!?o8KtI#Pzt@L1SjiYcud!i4f7p-rZq&^rHRR zNYssHD4xd$<|iLDRw!1_N^zU4;{Sg9)i5Xv?=$1?Uv=8JzU!S6i7LeqKbf;T#~;e$ z+q5PP6z4lYXJ7eabp6#3ZFXAmV1_|0HW%Ko+XhC4hNdl`LHt^o7HL%@ zN@wbnVP^9jD#GT2#ScUX*zGrymKq{HqpK=<02!iKvm4?n`3J&9tCLdMQY0z|Ae~-TY(w)D3dZQ&(Pj}& zH!Nsk7*KnF`$?jo&(A*@ho@!Gzm^s!*WD_8Jukv(E54g_{96x5mR3JmB0SxtyJ5UV zZylO&5jR;8#y|s{^5PaJy^}j)tXAv#*;GMoUynA>i`xNT!X2rn#|sIf=FPTOmn(48tE5x-Zl2d!`*Td`1D+jS;Tx0UWN>Mn9tt|DLt2 zkNW*Gex#OW>}egyaYvhxjyX>U-=Y0whqs5RCob@DnI-$ z)*LlowDj`N%O^NOrSWDbX&|yqF<+pGMQdC+eqLq?^Q|CGmG|a)-*THdA^(u+ zNl4|2{yGxb??lQ7OqnBqji`>|F(v=AE|yG!rXBaVc(M!#MixfJe5NSCKyq`!aSX+l zzP{URa&lGSdnQDHHB+-Swh$CtCq9$nM=Q#@&E7t1`LsyAq3=zK)t|De7r^$fCA2bQ zn=4FIsD|2sZydoj~)G-(sDIj-Hj!5|%ZUI?xsW%FKtfnW=T$Zr(8 zwG~}BUO<$ZEP-YK5LF(2UNrBwjT?WF6EMB#O;srAYLt~{gPGPTTUl?h#}{uL0`dY9HY z)5+|wB~1g7n@M>t%(7?0$(YM=E-xVot1{d}1%Drj^y6N&(%shOe}FkrfETqGpVxem zv+H)oO8LLbA``o4H+D0wj{hCRh1m1F;NkAB;xgUIx5tnQAg?#6rQ=uj8?gi#7W?bS zbtbqBtd*T8eYKF!O<{tBq0DY{p!wzHlO5RdrP)be_AA+eG2o)kF{5+5-%5c33#mUs zT^`h%wXC1{^l-dIX0V+QSyk%H$uPku!z=09dsY48a)4W`Lx2(P${r z8GYdzl35}T*`_3W?H+660l8(DM#~luTK#aEY#aLmd1V5WwdwqZ=pXn~O(0p=D;=SH z{0xu3OD@*IYI*Ejj;ED|_s8znug}x6UnlPH%E@mUpML!>#rb(fTp<0tc#Gm2aph?5 z(Rc+`RP*KQ&E*44vqh?n`x4=(R6FIU!WPcBUqQ3}K$CtEDdy#4A6|Ngh4J*E+-E zx(!Y0GiEW?XhP=^g{RvJM-WC3gW=Nr4W^uhhAagcO?zB~RLkB+|;@bVG2Rsy3`T2|yz+I#F#do08P2Xev^BG3pH(i() z4i+EYn`3M8`;2)|6;^ojg8L&3n>nvV=Vgk8JZ zWlxo_=2G-*zeT^#TSRYobN8VE2tHnusY&O*XVsv)_Kt)SbTdwO`qL@Y(!7!N(2TCO z?<&e?PV9`6wUTH^}3$zkEN7Oh4Q$`02IYzSC1? zK1y4&7QWAqKHI0>wUnncG|SDpW-*ukB^^i#V{#HC_nKIbPNF>f3b~}g&A~s{$Mwpa zSH~D+vG*^!+;1i?Yg2^dI2zb2(L+o_l){0Y+!_gDL^#)B(P{EB8J@MZ3z_~fZld## zfPX;mY2Y$M;5y6-;sOdMY_^`Cg6?2&8SL1=UqvfB)K zlcD`Plu)}@QzG1tE3Nc7v)=s}YX^937MlvaxQ$>Y>RSG(tMCjjT{$pM&{oj-EpO;L z_%MA#gi7`f9laaz3Z&8aNUsYesaM8@jl*$00McQoow&rg#yfRXqQ3Wm%5b3^u{=EF8N z3u!CBjox6VA;h;8y|Ixp>*2^y+Dy8!ipy3@*&FOidgp_`pS3+O%EYqankt81d_=FF ze9ioh0Y*DoW^?0J{OD%)sNTYpqr?b(#Q*eW0yPgmR`x#TOQ{H%mVov755y~t z&zIQ!JV{UnJ+Pu&67OOw8z#yXcGllP7RIP4WFwj#TziGEl^Gq=+PFHaD(Z3-)RLlL z)^xwDIVqoV&S*)wj|F2&Zffc@IvY~})cD^&6Ukio@26f>NAiB}XI(iZ+?Q1R@Bdug zO<_}6pFWHw3Q~Vy%YGuw2b$GIXS4gl#rSE+-AKcx>x{ixpbGc11x{mA$rq0y91YSxYSvk@Y}Qx8$x$ z{=NY-C!f9Vc1P#9&!T=_NxRRPL}8eSSp+V`-xA=itY_MVcG(9eV)ggs);1wxS`90H zBUyofge<`XjW3cb){*$7GvOO z`CYxHT#Ze%NT=)6{Uz?GQ7aIK;J3}v^+1&4Ceu<$XE7|?sIn62prz|}>m<(%0aR|1 zxNJ6T-W(!2KH%n~u?ca0L!!zF<>)X*XT`W>=Wx^RQVCgl&C|2>{MHq{xI%aH-##fr zUS~di9luM&B2W}qEbabUzV2v~tVHW0ic!6voppt7Q7ca-oBdh#)Yvinr6Alvn$dk$ zBx+!>_j>h)5wkCgP(kyR3~Rwc?ZvCoLChKS2Yyc2&`Qf`!*ks+eZz&B9MsIB!rKdf*t2+P?|As5(RMN(Gw|jWw{VLhjs2 zu?Gqy7>cd+joXgy!;b2-Qi!M)vNpb&Y&G74YNOZwfv?kAc0jL@B8$AoswlAe%)FK} zpI)H>G|^0asGhiMBjz&O*2l;_v1Eol3v#PX+oiMw)cp0BCKe&HpuOa_G<_%NaGA7u z?6zLC>($t--@|ACZ5gz@f`sDg_P;(BW#{+vv^VHqu&Tr~#*omi!DDU)hr`nKOETGo ze$s3BOZmYi>m2ms$lrmh_E;^mvV2b8b;~)+Lw;B~L80)lcXSqtt-^0c5}0T5#_g}| zR4hc6)d>20C`5G(jrQ(oSZDh%Xd$Dwt`CFbb@*uy(V8Zv>{N^neScas6k;HWqoY?9 z^CYOBlvkA&`zg`*JD>m2M%BE)h!|wnZz~YJXwnwAFFwSP%<95a{tSEQyIS)h&Ax{| z+%hjqMmJoFaf&8^^UhfGYZq6l#XY-yI#yxIyk32KYL@#)^lOI1b%E>)yLQ4<7|4e$ zk*J>zwrg3N#0JMIuEKe>mCRz{VzajH5~$0zNYc_EEY^|)*?Mo={N*aT?Dc$E7O`YO z*n=HZrH!zr@xU1fi)s?>;`@<%2cvY1$#p92u6jrN6y_wmpNct<+zBO zFtx(p?}O-pF|gLGIutslzd$hevh z6cqGrm@Jo5)x+kTagJ;0wci!$XA}6&F{mGikGLsk)D4)qd0V2=xZu784-_Num~Wd|~5n&q{*vsO<=* z??kgd8EhzT!I&Y47Usx&Ov=)D9$570NzI#SC>xG3ZGQ_cb)EGc@ItB`3#+lOnU6ij zF*L-`7Lc5$B@wJL@65NOsO|4i`r4bmYDsX*X3;of^BeDUy}0iwE!z$Qy@KQM6JKGP zwTC^iLvG%=2`r|NF_^xu;%I-n#Zh7c;p1VLCW84|yWi0<9bn9q^{}V~wrjcLuXD?o zL^vQIl87TC^^Y(a(W6%4P%a(4_mQ+cfJq!@k8 zD^Wz#F7m~wrl=Up1vh75&fYqHRDn#eu1wutY7+YZ8<~k|jRsHnGB+y_wlYQV>%hVU z0sC2$kKIs8bKuMhd+wJaM!b0Wa;y=uRTrQh@H5NtXtIs(593qc0VTt;xE3VCb(`}X ztndqJdnFg==wu#~yO#LH*QHD1)fU^nss3`E% zx(%cyor8;<)xt5m4by}*eM%)e4zv2dVA&&YgqXISpYi5d{pjc6PuEznydP(wWHai9 z5wQ#C`f>}}>;EXZh3n?M8`u#5ch?E%_Kori3T&aAn1IU|j?Cp?dC#HUFMi+o?C1Rz zCT%|aJZ$jZ=yw83!N`3M{sA{jFVYG5tVTehjFvde&9DwMTMn5jXr znZPdtF&o>~es7Jxeb@!^_X}QJ`NK)^ue63)keft)|J7o4MVxR$)5LP3V9-B(3VoF} z66O0F5WbL1rr(KoG4M(H%(o?VsbnvunKDK+#a5mrS9V>7F?`$&n;-lz2drI1!p*@# z;QxKxto1nKPgz)1ZxVRF(Y|XvDdXO$S|^Gw@ixbOe}on2HEA!~LB7Q}ngdc0r7s4! zA0^`XebqI6X6Q9X^YTxI74LhFW3?pt^H%NepOrWzW}0*9!7C~8_ZomU$@2NO1cZPGd<;4suyD5 zp*J~+dG6;!8`{;Q8W+$P65n#%{{sB78cUvy%IU#|=|^EP5TT|~Uxt)Md9Vs7abrgBXuO?MF zO$w}r011F0T9Mk8;KKCD&;MhrmS9__{(J!`L#H*KZjiimu3f?2Eej-`SCinnr$|iPW@}SQq6|zXrQZ`l*#`4rfk?5)~ykM zDncA#gpZ^);;*e-rA^2_u>%unVg)QMVy?oN_IJxwk$;x56<_L zxMtS`ppik*3tNRfY0B@TB&vBZX2_eM;4q}$N^`m%2oL?p3$5V?KzvEAiWxCLv2TO1 zV~m|z`AHClC84Hsa(2!_X!|H!f$K-ij2iu9_E@JHX==tAlMLo$m)u^ybZJEx(&4s{ zx-(baKcW9OBFEiv9WD*re3Lk1_Tt4E<>i0vZYlN#$36cWwjocD%uD1Dot6Ea*txRO@gAD_ZMl9Z)TwB7L@j9Oev_rsEGC{`c5grOWVt&zZag6vgl z0_P5_ohO$$2U9pDP?KGyvVLrSw!{P+tbaBpHxqT*mzN__$4FcI#MK=4qGPgdP-B(3 zFn0*mt;)}LRptB75kZVi&(GI?8?akC zdFap~je7N2LE^fGbAtl)(=Q~xT*BW@Sj+iyo&4P-!{Wqm@Y8DMJ5S%WRiZzWZH zJsH-qW6!_fU4JO{FS}RpVQdb7yhkudIUk#J)^^?L%G3!#&g&uJ^j1}pvqG8px>ZG@ z26}|>3-E{A7T1&|V>RiT9x{<}?VV4CmdT$Bw2NJOyp|EH+KomA2AtkLYl?rJrhP3q z=~P)m*ve|?QqvVpN->=d(@pgbpS7ywCO1s{du+H~uF)a6_&#rb^p-oYQ^+?Z{+n){}6sF zbXiY3chQgw7l}4d&x?LwjoG*zEjz08dd0Rf<(9Cs{P9o7WX}?>ywtip8SUti)%AbF zu3#)6d5$i*c-|bORCGaXmGcqQWD!W?JzzE*v66D}{v9%Vc_a6wR=}qU8@h@M1_H{S zT_~G3!3|&Y1~ZxTOxj4|=zoPUw~lW^UO1d)V_SLrP+|1( z)tYbnN@Frw+|lXf*O17&i!39dLu9( zz$}No+=~(c(*L&ygXCcj9bt0+#P_@B=S%Q&m0LZF_TPpcJ}ez88gox~_e5L;>|?`K z28Lm(wq>`a2?Cr8HL-nwV^XIOYD4&Ycxl|hFW1+4ga)t?d;#FK~4;N9FE6t zuQ&1?Z2T1#6o;43DELO~C+I`1J$*ZF-J4o>excO-b35To-_tP0|I`2buU`+p|Bij3 zZ@2-nakI_s_Z(Ojcc&lrwywmT<-)W;L~55AviT(X3bcb@FZ6e*Rx#GE+xqc47m^YeodPIIXa zy?g`d|NRa0v7k3wfBusE&+7HZaL%6bo-CzDBR@3{OW%P9YmN|+L2NRb)DSx&MJ{eN zQ;OrP?)zV}Ea#L*e6-pg>#NM0c-W_E0;lOm_@<7sTsdU2nu0+Bp@PH0lO`*r%Y-?GRX`Z1C7?{-1}V zaaPdW^w&S<-FGWU3(Oq*wZ+IN`L7=4HYP=oj9P;*Vd`={vT-ZzV%tWK&f95+wf>ai zjLp%UzJ%gz2QnFhLK5r)n2RrLZOMZp4XNcZQrpIQkSH|^@YnZ_rf*&gE^PfgTsc@+ z0JC*pZpzV%7E5c^wrKZ7t&SR7iLA(H>d&cohY-cYIbGM&XbAK4^6JUf3|@iIwh&bJ zsgyn)g1|?E3}3s#!9V#}8FQO{rHcZ0bS_5!`%d!}SFaB`{G+&VX|7qhab8+5GV@UH zSYr$R)o*U__MJ*`mr?u0K##ddOr-aU%kL+Uxov{2uh;NfolqhUDbId`^u_!G8XUFy zq?|j*N$awSNH~VT`whU)ZvtoJz4e8ynWdV+9u|xO2#mMv-n}~q7Q|owc>rQ&=kT3= zW8EM4`Y?fT^Yw}8KT=17dHe*ARM-@29#}An;uP~P^L{%XjLL;A=n|CIN%)ZE7D_}; z-V&Qqg)0kYEg^{Z=1}hi!X`8~!bu5=eVInTQ^aOxmtO&QYj?NS69*#a9B0O7m8jaF z?GfJ}f2~j~ox~|gdy3#BPKg)-^NtB9f-DZUm^{`hRwS#l; z9GGts&QX#9Z>Bx2eds?Bb@q%xFeHZd>J6u?;ah~X##=4n)Wtq+(1`5*bNG(c;(>)8 zNl$7mN06{sBUCmg`-cPTMM1oazfD9?a7NI6>y8vBN)cuwkDBVdpoG;=<2gQ|81=wD zXcH0Esjx~66K|`0{P*}9>?8=|T$qSlrrx&|ZC)xlPrr5a?g*KBNU4EBywAf>{bkd) z3txtZH<0O)^t|a|Zd+3wr4c^pM3jgxwVI6*<}5~RrTO}JFLC-)&3G3_6uFbvob(tW zAv5mi{fdx_wyCz)sEme~3~R=~?rzVGqJ%jJgzo~L3tXx!6w2OnuI=E0wFNx4pF?eZ zcwg;W{Is4)B*5t{bDr)3N5UN3iDRS;w>3V$RE<^EdYc>3Nek-L&yNQOoUq$W`U#OE zrEd{1`$?Sqa_4o{_g>`M>FIcCa0Mx+{I|Jmmo~(^eHTW{DfCYE#F!fMgEhjg#PZO z5@yw{Mzhn+X)4)2P=aFLVSxCR2bvFM=}%QGmW4S$siC?jLWmI9VgLJnmjl;T&u2c1 z?3SeD>5;OA@4SBtj+}I5f4uGL#)Hwi8+Vha?bX_WBX;YhFQs~N1L87iZh`*(S5dQh z{WL&O+Va^rbHk-4VJck1(W4^$`U)sqEKFx)W2p0rjZd@u1HtVK<+ zW6!YZ63sZYqjEi`1Tl*-D_BDjEHJ&?RY zEY|P~Q4B)h4wn0Vpf{_umm@>{9I!0LQDa6L4U_xgS1GUxMZyCjobE!4f<_>y{SJt- ziJ;6m{|*lA$D=vOFMIuM{*@&mEI6saI>Wl6L&~5uoRG-Ahx;iuSi!#7YujRMGB+@p*oD5g$RHt zd+~i|3;m!Iat#^~as%@l^B7cdTgftQfBJ2?bz$|&R zKweW9Vh%$Gje72(Rl&e?g&$|)_&p*$b)e);tF(q|NQa_1?TjG+fES%-5IsBF1 znPEevd~rol{@43>$TwY75};LYa)Y^c6hp}V={C=$XJXE8&Mu{P+cYz*X3BMHFDjaRN8yIX_Gy$8Iz&Ex(yUiM zo8zv;jIS=Nt~QV)?)rXs4Es#YtCHJ7D%nWpoWX)nC%x5iJqlRK8?PLy4n&Qjp@#a~ zX6~79AT_oqvPi_Yi!RJ1_#iv5jN4}tTZmC5DY{P>@=npSj{^Ay&3g4oFscI8DYvoJ z4a7TWym6@Tu3qbS7eD6Gi)*eDB1A-Nv)o!)7zWv35N<0g45(Ysfb5TOsu?Xi1=P10 zHm_Vvg?0c@r(0W4!1+2dVi*V*+(SIZvAu@0<2=b92L2h`6CDpdK}) z=6%3`3Npo|Q}1B2A3Y|!34uE9#EmiiSw0Z03?4aiZ&}{&Lhuc_)xZM%#k7Gd&hOBE zIo^HJvs^N!RS)Tkn^i9R$p>KN-V01UI7N2kkB@e1tYA z8XMWbzSS2sx5VD`j(3s#7*w;J%&J~xQW;})GCZqo9zkFQ9JkHV4t~a#&7|S2qzqNg z#&7yz3pph*xFPN5pF5*y(w3*n*{fIOLd8l-<>JS3N<`kdwnHH`SiV4WcXk0?ka!x6 zyk|UOjL@JlX~QdITS|s55Wb74pCADagi%D9xFr<6D8#VWgwi+OIB(zn_fzm>;Z^@d z?q0Gx@oVPqYT`WN=+_b_pk0zVk=Ba>A8<%XH|E4?=ytlyey+)+rA29^i4$R19`v$Z zD&nmwH?diTju$c?ATwa4K%FEy-0`XNnZLHspUU@*J&;UEJpzI=)PkrnCly%=P^@C0 zVRH;0y>?3n0<_`kY6qj;f-&3T_g0`pb=DB_L5Wqyw;af<+*Ky?xMkw3D@$nERYcvL z_o@Ua*4Pf(VZh0{!kbII4^jnx>$8l2JKoS#>Iqg;V5@Y7M8u)xLS)l_r&?;|Kg13G zhf4nMcHV)x7^c4&XF{pgv-t2I?I7`t-KeMB+OV*fp7rHhPrccfpU zk(7vN8^7bk41n0TcRR@dQKpY$6+5gLl1H1C^lOi7WxcSnlo~}VYmVQwG(tz%dHR61 z?7O9mkkPvqwBwPACd$NS11bya9448y6g&o8{Fb(Rd0uBU3}aoo`4P#O`<`j#>A_yM zENTo{qBFY7F1unWyjAK+mUeUjLfZ;t8-G6dc_vojRGOj*Ld*4Esw`SbdhC5hAth2H z6p~keP3^SKr4dZEiytdw8-dp>>>Qm8gp|G1tE$9K6yd=uTF394QFv9=HJ2L6|DW~h z#hVrGK9PHj__P^lG@*+=N4A1kR+y;5$C8ef+pS$^`#dPl0Qg{w9Mdy}tomGRMc)ra z)tZokATvyj&{%FO3{dYof*4#tzbnq|#hnq0h++Cu?zPj{$!Gt#i4}G&xbN7k?KdXp zoTF!K!=a`{>wdUtXYBF42=ZP)J^tX74^j&e>Cy|Fnc)KJJ<&$LW{jMaOH>Ep4a0{3 zI_+;Pb(~DwH@4rq!Ct{F8|4#BpKCR%lzG)cK*i5gvVdcTmfeWeaR|B@ZW$FE5i5W} zO&J507H^7&(QI=`)F;2gN{hWt4Gau?z|FJ;7qd~UqWd~-*;3Yvma3r=&f*BCU82Mn zOfCVjjlrTmPNU%`5sqc08XP@2iY8&Gim?@bT~=)ihv8DHRvaK;(6QC5yZG@C9AZd} zDJSZF(raumG4P`}8+Z951=$Ka#!b+QA^q%9=Ifn;!oOz4|{4Ckc7>I!w;)dKHYfL6%$w^4yXG`9K1Q` zI*Sxo5^j{wA0Pr4Ak@#1>2r*4A@4`nBz8H9Or0C5_T}=a`(dFf$G3shs{Ocgq{T$4 zAQIiw)VH^-rzhkXo`A!$jdEK_LFcUAKn`nmMR6bxa?8 z4&!u;$(B3r(~iy})IF1wcE;Xw%&^#bEB|C=;h;G-=19!LMfwtZ1{*Bs@`09vBb4}d zXQ!g(T!#FJ8_I|h(g+~D_C``Y$+m(t3@UO;j)A(cPKolvyz%Bn2n zo>3qgv_ZCsjX7>_^cdD$lUQNam9V7|WAMRA_Ij1~yhEbo2}>y+UNR*487@q{Mf94? z{I8&G;!NC)*hja`?p6hP`G_9e=qtMf%!zVnO7-Z6`E4D50o3DsEU)k?fe{d~CVZ7F z0!?pb`fxX9u%oquhM_ZS171?t+S=#7>iiRFZt4vQI(}>IyAUdnNi##Evj*dAaZ*Mu zikIc^;Ipmf;m@OA07yL*uJPuen#jZ)9U0;VHcHW|oE^x&O3In3E4iIYqKZNS(Kwg- z1RY>8>q;_m0I@)WRU&Lds+oMw;GY;d|Iq?-qnYE0PS@)dO_Hn-#m5++qB?y5FF@RS zW;R5xPeD=AGDVV#3C2_>kmgibST3+xfFbx46oXDMa^Z$RA)JHsqum=!WuTD2Bt?jT z>SR8#9nLWjtg#K12VrzS)L0jlry(nw%4~ef2e6rBt&~NDtDkxiAI8 z)s(}yC90|aNmkNYd5+i;fZQIBvqFQEVF_PD>`fsw6G#ZWke~k!#2C^U1uE-Js^%S> zSA1h-yGFzAL(DWJf|^4`Vkrg1hu&9vrvJM}=_rj&y1L8Oi#Zp%FaeuU;2f<&t%_y$ zZhQ%k%Dh0bAa2MpLaMGhUAI+lxRL+0fZbM&5t&K*J^8^(i4s+F7v{uKA{=?hK4_L6 zx+lR9CBMN`4=b-342OiA^}RFARXT7$!Un^#sQ6K+d&aw^Y`A$3woNxI7M}FRV<-a- zw;^IrJ%B_5c1Z zv-|19K}y4OOy_)HzlRXAdLEIpEJDKzKCl?U%|KA?r1Wu---Qw!C#R^oSm|!O<_p?R5?{%ARTm})4quhukWKC@Cxcpeo(tu z{fXoJSAn4cZcO?$FKlYSs^5#KGcQ zpz35HV2%%u&OqLF1o@~}P%!I7Birf*yG$Q-;-Y*$A0P9U5B!t(1SC(U?aQIW7=xMU zd{!skEc`BAaAbMl`sgEkfjbD-_^i=zpCAT~pL~RwN?7bp#lM|gZZh&Be(z_vi-6LX zaU-il%p%DXR+w$jQQ+ypOoA2<4X2;%h7H<&0ozH#7s!H)0f0k}SgyWy5-3!R5BCrL zJBOCKE(O28cL162nDO(CVB_eUpbYCo1Q@wZjL^Ee^Pw1V7PkN)2G!iJb9ku?8=ECm z)^q3$;SxlS#wn=2WDwYja>yLH5V~$EYM6*GLGRE(Tv22Z@Iykc z6~Aak)lv%kVkXWI-}dY1m#}z>Y#TVP757XZ)^IhnXN!^D_56bC7I!B>EmPYxMs~}- zdxu||BRADk5$d4rf z*x)(T1P3{;zPA7Cr(9h@1CSOVp>+gEvl}w{n^2q~qk3X1NzRlc0CEZN&SA$N%a?mq z$uMS|OGWZWy@a0)hLy z${k;rnn~|jwM?!q}s`DPPtH&2AS?je;Tz*34WEh zK!^~Q2n*~1SVZG5)bpYGanGjzE%UOm4~ZQ=RSDEo-=|?wJfeE=(M!S-ErShyu5;D2 zIPK?IAKe*K1b93T7Elin*t1lMbsDzNnbU@`SdXa0rJ z>p43Asv|}&I@~JpNUG-NQEY~eiu&ib=hjMJ-rmZGdcgxWj)K^XrKj)vNJJt?AR zmHuN+raNCE)R4voy_++0;$Q3xSedjgJkf5qi%#M@i*=$nm-X77v1)2b)$5Adx?-K+ z=J-DC8|;bZ>l%G?wpltP9}cvQRm{3)=n513ReYB3`3^l?KQ7qMd55V=+d_9FgU2hK zgl@nO;altOm6!Y6-Zf~1$d!$B5^TVca0(}(KfXb0GHNlL8uEc>?35%1YXJ&mlJ0yh z8_1hCp{}@+N#m0M_Z8;DJ{5M~b)w zmp^ek5n#6YP-4sR>~7s?S#Y8k=VQIakx3+s97uAthCbV-Uz{t%Hxw4rviW*#Km61O zSy|56nKT~2qlW-Ci-eaj2z2c-ud3c!jpl^}p1a1@9kqq~?|!A`!yrzd-6RkCx|>=UT79g!#QKC|=_B5nn&LpQ!2;(|=<4YzA8vll1E zQzZ3ER6RUJMo49l2^I8s5HChaeH2Cz+5UT`WCne?K(3rhcAw{IjfN_{GjC3E z%QjVX$%p>>u!4R#d;LJ*xd+m@Yv0NI^S;+2YAiJRfB+gw?7mJX{>pxE^24lyP3@Y*F>N zL`;^p{H$K-a6nOB_tE;}yVN(37cN|=pw(V{^|)z?hHxB9@ya~vvo396t1tg*MIP`R zOj6t}mLaNM-T32x?nqfvNA;ccwMGFG+NrC!pLUQUfCw`v3vhJ{baQp%tsQSsgW@$H z)#iu~JP8jA6f@aozFiW>WRcxvwAVHrvo|-VD4R=}4**c=p!9WMh;DD|U~s!o#HV&< z;_`;Z&eF;|sW&d&F8p?zS(+bb#d?tjjvxK-65_Q2>G3kw3lU4Ho(;WsBIN=oAWnI7 z&*Arp!_I{r3DxEuf$$VwvPyEBv>GnLf~v|tAmA?*{2l-*dlw6TaAaFuB@518;HV68 zHa7aL>2byiV9{HCcASOjgmFN+re5GYI%!w?9*u_4u1`Y5zDM7+gb2KeLqBIiS6|Do zjB}3aJ$m-a%&aD)2LF&*azSYc$L&SoKHoy}LI((4^C&_DBjzSse~74FmbPZ!* z{C3Y-{Z>&lvENR63V#*$jlTTS_Ej*{6`}N}@N90@mNgV`o<4~2Y&}Anb(@;&#~%)r z#keQg?m01&tKQ#m$TzL8`OgpZ4ht9qhKP^O&xehvUf2n8+Q9LZG5R0-v|A1~Vzi&1 z9Ai~E;4Hc{R}xR{*XAluhL#o>4{R^lxSKHx#3TtA53Eq!VQ=way=cAO#>@IM&7HSf zf;a!%WBdD!MYVtb6esTb+_lU7x0z;Qusl`kk(Mb&3;P^D1uch$vh&HNp!kqAGFUkB zl~;gz_b?Al>`mkGn{#o(6P&YyEb{rx*Y78%3WgopTYvo-y@d|4(e1MGjZ?uYH`txq zo9~1MFQ8jzu&nm4@!Wl2FbxwvIm7g#OIE8(4nL6TK9p7|$Y>7QDfMLkuwG+y)$gqv zjp2;j8Fz6tZi6_O43JQ7gxq&6`r*adKg0?3Zs9u{ zUH??nJ|yZZQ8Q-mK@We)10J6fIzRUa{-hJ48z%S7Z#Z$iD$F#kdE}bak>W6wyBiOL z#cZsxo`QRM5`B%#)Y=VVD+|zLMvZZB#FPO!b|h&6`q-|EnUq+?0WrqFB8W_aVZo9U z6XCAD)&%kCDRZf9>9mV-`mILLJSkZ+IzA-KP*_*`_v+=nlrFyKB(26Rg9jB}H~#;D zFiWNvc#KC0+Su@thovoSi!v;3m3aqk6j*-GtEwm``lP>ot6-fx(1zY!D!0m{{44kK zNYuj5+%1p%RAwvT;Dy@07c}w%^X``mgh#*VDqk8f;yUWwBP`nyHov^BcUSqP;w>Yk z;-w!iE0Q{_xFe*DJKo~wm}d_tubwRR1|772f-6S66=^Y8*jan#{-_PUeAv`iYME9w zYMJ7uFh^j-GQhkFDx_Y$imBkJRe63sDrr`GFEQ5Sy>{VOlRZ0Ts)pmO!({CS)wNv) zSBm{(y?t5f*8!N^gCL*H-KSckWU>&`&y|4HoJDnT_|{V8a24gq)aikb6L%JzGh~rn z8KOtH0W-&#Ba~|Qb|tGd53LvlGs~Rqrk00(bi1nP9+bCd8N@hPd4F)gqZ!A8!BJmd z^;W;PMT6tJ8Av+1-=%RR{}_ctMS@PpD1Ybi3CS>S;DxIJ7f55hKuX@>-VHa{^y-hx zoQE8IK3&rF9eSAQp2Qy(B6`@eYH5DL+}X zOt3&nzTF(M5~}DpOri7;{8EbHjLqt6sSqM<`}zCtmbalR5!+%tXBT5Y_j6hgSd?6k zueY+Qs`OBp|CN-T)6x0o`Nq@aKZ%*N4@WDeL1NQG7Mrt8pW2dY1EJHvR6>TxkRi&Hxl6DUaFqI+|LMSq4 zXrhu-=2>}5Iff+j^j+uvet!7;0iXBdao;~QIkvs`bzSSV)^kDKQdyihBmQ`{DA2tg zJF(+?{bv`>A0U-el=Hb61A3!BU6pM>TO;8+S)E?pFK1OKmS~)n<~+}uY`=NogGtyf z_h%?OjDwvAcELp;j^wOVivlY5wDZG9^L2XsNz`B&$J1EkV~c770Me}s>PY>@>!?EN zejw8jOt}J>>F9~vwSuTyd?;BlsJD@NBllTnrZMb>1zxVMdmh-xHDNNfFZv-cMMC!` zKQm_n$o)#@zh%SCMHcsUu6kAc7H1)}80!%gCq-a9?}3{(JCBnEtJRr?N?AYL|_SN6oTd zY`XVr@WAua1I4-^9n^{!9l_rHI}&m4;S$;67^1C8i>Uuq5q20J69ly=k-|&*JnwFJ z0I}dNy6TAycvX*LV>|O+9gU{&i{&m}tyNp^3Gc6+3ORs%AJC1CH8>I3k(n(bd~BBw zypX>j%D!~lY;B~lBq{wGT2`4b30h&}7Pr|(dd+;yq-vn$-R%L=zHON->Td~dEid)U^3%9+aA3md zqscXd4u(pNC{tI{5RBuz_F^gR$MFWq45OzU(&GWas8hcqOQN)L%oj0>{F6NLSR{7v zXGwAK^*hQQcOe&s(FMg?E`bHhK9@TWx@xDE`~f}$bLiaqdY|@|IPUmw3~fTHl=l+= z3eSKZ8y+wA+Ym52?t`{agC1ue$qEBog%Du)9f`Y$elD7Xafpg1vKO=m{c+UaY)-bNK$XaaK~X-D|BhHo`#ul6nO{#Wd?!z`$!;iLdnq5<$1?`#GOO> zHup=XW?laph~6&G2C!S(fmlc%m1cv>_3 z5vzxiVJT8#2j}az2HsN)9Pc^K(sbNF3YZFn zFUC>!ydIY6aF8qN&3B}X`Kj4rXgrEHB!4End~Amtna7Pa2Sd8606eR01IqgGLdequf-o$0qye32tTv zF@3eP;rT$1?l6nQp(5tJgl+p~fSKw$r$aC&nBdXs+ArVGre7J(X`NfW9Y=5E5JaMX ztc50rI~NQUNSz$2?FDV&d508LIhw7rkEYr;`E089ndmB6&IdCL!BNxfqvIb>?FxK$ z7K3})rYQ|fXN0^3X77sWuhQW}3=w6BN#FD6wg5y9_M?4Lw!rfC%kr$n>EPeam`RTT z(%u6sj29&EI=+Um5G4N8!#uiAj_zP-C>&>qU_ws!4I+ipmNJPuc-9ttEbKX&eN+;L zMDMmrJ5ubr-|GeHtMCk}M+$4COMc{q-I;pBj)92bSIO_PkjhB$;4fxb@?1TO9GXGX`LriLt!yv+74&AlaSx~sl#5|sKmAN!i+^4 zz5)`lepSbNsu97nto&S+Sv}G&z}n%Xt@`mvmcQ+UbLeTlrYUJm)B3=bK#VC0a*zn= zb%^(fFbI4Ha0HT!K1}^0{mS0E+M`>So|EOtm3trmjaA7=8fQsNxG`am))DqbeF+V! zp+bJFRIwu_v9IBTmNED}K@ve068c)zv$I1V17TyR1meX*|5hS~EbH$LhhJ^Ay@B-b z;tf(d3-2I3i>{6TZN*^ru2k0o9s9*vZ+&_f<@7f0zEt0)yeRTtL~p>PgqKDB)g}=h zi1?;5n|yJ1v+3VD@po}K+twYCi`VRYhzY7Xd-jrUN+%eg z5RZ}aBtRzU*ex-#t`91JO<;)-uJ=j5>qle>6+^x_kE|P>Ja_D&#nFbFK~uvFl5}q& z6uqSUdn)yoz`oSJPF~=W-X`3zt7V4U!1cz~DJI$?DP6dm#i1pzt?((D(n4xv8c=F! zjPYOsuG7TaUtA4sWU^?XrQiDK&E-nKJ ztCePuF}{KMI|CK-q7A~-GZRYh7rxpfT_MvJCx^kYsaz7+wz;+0j$l`C$7WwB1ow~hbY}Wet@kFLtubyl2+ndG>vx^`0HTL~q@2+1J`DO+aD(sFd z#LHiTS@E7AfVdhpAG43iy#Pe65C)Z$_GuRed!}^;xA8!7I>hWb%wwWU%7gv()EoIk zsO0U6d8E&e2XzDPFS>Wn9Z3yIT_shCL>=-I_bEg{$-H%+NC>A>86jLw6D?24gE z3ch^#(H*^475cDIga6S2m%+ccGhk4O~e9pM41eGlT`RY`^Uyryfa0N8t$qWK>(2x^#hD_?lyv zOLxF4fE_!1mvU#$6=PvkVt2gc(T(@7WhF;D_h?02ivV<{&wb4jy-c+Bf;2j@{Ehsv zlQGC;rJ(3}hq?JMQ!Tn*dY!x%oKY*o&G9A(X0x@#FI-dx%6l%bZQ3@`YJUep4j4nz z<1?9t$MHWKhW@t3e*@McB$oX5iUaR>+li*a;Sl@jk=8Y;$0j^&+q>}(MVcKyx$MeH z%)wY8m^!S&Kx3-NPfGq{)7y;((9QUDGIbF6V;15V;E2+}soG7A7Zq0UCKR}~P3|_lU{^KE`9ui!cLoT3 zM$In}PcL$}F5tJ^GEuRGi?SItff{%(yepJ}UF?90N7swaW2d1^x5B`N$ohl+i3u{c zFBSO(n9mo1NH@Xka(y9+dP4~B$T~$MG%fz*qO3A!tq6Yg4`m@VMiZ}+&pk2Ae(J61 zxBsvrF~DGMZsD$fQvv(mIGF~u3LClptei6#{63^DT&9OEobm9m67;A$CqmZY)^bU! z*A>>Ujg;4KpDspDT?NWc5RxCv!-Dh+G7NowE%BrcwM8i(hyJ4CbJ9-fl>!Gk-NIV~ zSsXP^@z$Y;C;vfwHS_1Gaf}ela70}}Go=fCl(|T+RWw7sAKk-%L?0sPW$5$Z;ouow z$)i>1leX~j@|N+6_-W8`8<;Lc@V_6|4H#R*OS#nvyY^K_%ndCt%tbaYN0?NfoH>6U z?AA|x(-sWGdhI1|Mxp^JTjX(z;VaM*dHjHeY4cASg4R$((^wB=$D?cX0}fVv0c5)8;yL9C%n%)VwqLz>bAHrD;c@{P>sa_{ZxYVm#_`SXcm2d=18gNUDamUJ*mc7E-k~^NQs6D z)cbg$c^;cKIlP@~5JzfLjU9Y!?OC3|H@R?|Ue}aybjNiDA_yO-t$OaqwUk9&ScKmO zfwh14r;eAGSGLwxf!Xg@(@n1+eyc{f=LCvOU|ze486HLR&6t#RhBe_Td>x*;gvxIi zT$NsjXrj|4@}VRuy)rzkHvO^s*4CzsHC;+{`d!xoTG~4hSLv*7PTS;Z3qtVqVy^G2 zNuO{;#F{h6vR8+`s`}0L2F6urwfYNzJz~A?M-(16EI}>UpEoYFlz{(ky-|1acZDba z<>${qK?%^5#ZlDhmHnT`@aXD@-`>-v3AyRBLyQEr>>O`8o~B|`ZUm2$arV)3zG#^rAgGFVl4a z$G8$TIZ&zmHe|$~pKi6VXw{t+tlJvc!v!x7i1E@Ju0G8+VI|7uf2Ldn7|XYrRVd^E z8bt4`9=3Lu#&mL!NB8DY^G2Lz-IWuY?yD!Ip-Gro_wbj6X&P(IY}JHEX2{%&56(r7 zc!$_S(fF8Yl=2y+0PA{Rz`PY6yJh#!N(>bWBsQ?A%rMfgp6dL6w`-3(jlZzNNh2reMl)+=zY={%*(a_Z6 zBh3G5=y-vaVMHe9{=u2cRnrej>JGlTpji)7zS=D=_qc$RyKXP9nr$hQng%7yEG;Y1 z+N;X{p_u*z;+%76R&d53SuVS2aB?0TRwE!=fmC{8DFd;yBG#+|e0QL@mW+ITi^?3{ zTy8*=Y$vU0d7C`4BO0(<4&mpf?l;y|`~g0W&kGv#7;2hQ>b1or^40nX-Swv&78gCN zM^D79u7NbZjacd#p1J@eLxx}OI8L`BR8w^JF$H>5{V!>Xmdhv@_VF$ViT`#wbb)RH zvr!dDJ-Q>|EGgZ3q?xv7`CoH8Uc@LGMndA#Lhoh`t<|@Klf@`trB!&oc=19&38GXt zE@|35Ri7UkX-yJHbt}A?T3T&Qo7HtdDY>u_8_5VWFT4YZ)<4@ zwwE65k-6v@dXjT^bqg;8neor8OS_J77V);|L3rEJT)lQ8V&Zd-`nM<*`Pw%dolAE- zFiBB1I&=DTGCDAl4Kn`fVB-8xD~|phMI96?JR;9~4?i(2IO|)3_#Z)WuWKlL=>_Hh zFp0Bz_%3@wrW#+-%|3|@LuM{6S*2lt>Q3-EqZH|EvXd3U6(q-(7s!7@dX?SmRME56 zfn9g?VM(5|xYJfB+PU~rGZ*Q1%W1@@5y}uf%(Hygl_9;`W$>B+Tva;l(=(@bl{a#| z{U4}<{$1lgtqJ$IU3crlYo)U8eZ%0lh?IVLp~|ULMNFTuq3|<4@9Gni|2xpy@xQbv z=M!Y&gd{$0cMbVu zO)JpGb8@s&8=WoMvd+7!L$TpWYifr}?=POzz>#K6Epar5Xp%{zwsJ}R23gG}Em`M7 z=*ZehoZ3nzWcT75ul6=IP@uf$PthoG(?CL$)cx>YuJ(FDneo9iYt4JpEWwdQsl7yZ z;{ZY~%6hjRAHil*l?E$l&lpTPOIO3$8cN@G}Oxue?X{*LRXxRyK)~O-jB1hUHgINkAux)BF|*? ze=k1>>+*_<|32gWrro-73z`)w>eWu;KU?UQ>qP!#+`SfZlirZ(>*hv#j6Tt0i{J;U zrK8aG8#YhTKu5SQ?@6bBpO$K zx<*qLVQ&+9PL`Bz2jRnW2XXYM`4}q!_O?2<4ym_c|DmgU5(x(Po12?`7a+m~crVW* zdD=G-t3IwOk{xS8gyF160r{r|L0jF)=1n32}x9%e2`;wU)E>$oV$-r}-^WggqnT@nc0S(8j+V#WyGu2;QjKSABifp#0Hf(gdxqNRHek%l zuSrHX;8#KrPe31Y0R9=T>$fK4(wbDXdD%ag%DCy{dFO^_5mC|;o7vaoHg&bM{0ltH zlSa<~%A_=oAG>YaFg!&NL+G4bJ;T3&vIH(0ANv{xBC{fY(^>AAkH~oo|DxWY_`L9@m2wE zyYCA5?)q4zJ-zMi7THZxR!7u5-StneC_X7~Ys>d_R~1-4e0EQ?LRhWlL8*5cW^Aa- zwk`GSZOpYOs6L57M3X307!5W_!}EO@1+2g4rVrof-}#rCii+@g3nqRzrT16n?v}Ne z<}O@d5KU@8j+zi@F->D5jLmsi)?Q-#H#^IOk|p|?rC`A@Z4*fZN1_LoGOsKAX`Aw` zihdU2-L1t!(AjDpR&C$h^2_y3c1o#EGZFUfiBqo4ot@=%@-YX&36rh&$---4t$T4? zM~Un_)IeZ!h+IX`Z*W*vL`jc41P3fH+={9mq zM=rl;9cGFMrTgE3ihd$Yn(mWm#x2fH{j#N7Z6X=gFyRb8Jw&`@DNoi}hOm|1XX_MC zc`YHbiNx$|YEU`CttBg8S8AQeLU@njcrcYQc0{mSrTekN)SSBrQ7IacFc5QN@nnPr z7RW6kgqLtcfoX)0Lj-N48Dp@6R46X}ijDr5u8d(@znR&AF+=h9@r*2!G_AJbsjb%) z`nW`nZlh}4LGj&F*(@}FK`1I=dxqjKBO-&5CyaCVDm`CRRoVU* zykbu!+hV#@)R45ZG{VXQ8tJP@;Q?3yhP9Ud?0b$^R`b_lbWR2fpg@S;f37SEOr z?41e2!CI(o7)oR#*5Uc|yql?s@}*0c9iCu?(4BR}X-vxssUN}~J;ztf7XC=Ed2Yt! z7tL(LIXM+jh{p@pWVp}7S7;>wAzR1T!Y3tX%#6ifSrhiowAWC39yA!FOK>>`zTa=ZHl1W5F zkh@U&B?{4R;^L7uX2@M7~hoX^huyRW1+Iwn!uuE>sh;R2_uI^GL;mR=p zFb}nE-}D&DolBC$^pWjC$B;Ide}NTa)!Tne231N%YyOYXUJg6PT*M!9>J1&KP-PT|Fn;Fs zEE>@pXA;>FTS{At9#CKHFiU{nV> zd1xgD3LWO*JV0WB6$#`wm753uRsIfNnM%8e`!K%*cd+l=9U<($!ukO*s>J&TnYC!p z<_M&JKlNg5LXO_3xf;)Z##oy z{dNBKmpCCSVcvb|Zuez~CyqGJ!;cPzfEvu6XMw%@o;0pc36*{LOX!qYw zYrGGFU~RLHzIL8vuj)H__7w82Vn_%JRb?YNxqRY=$=>XBK`PxP=o|4Zo(*j_Qenfe zghrUcCBBos6{%cv@zrgQ?z+2~z1qG%KecyESMSjOc37Z30RyeAS!rPl&e2sk__PjB zg+egZqa0)*PJr?7rn(g#J?+%tH}D0;$yRvi<350B%r7*|70glX{(YXk;B{rZ`%T^0F4s`wB|uUs!`V%^LU`(bqU}||7gag?+)xD(W$YD63)SKRQYr*sGGPZX0xRj~5-_AX^ zwE5cE89dRyx-zSvKKg9mA)L9pabeTc1LaaN)UY-(GOEPZZ2I(FWstAdLw3aUwU6KH zrOzTxi|pQA_ll4!JIu2^cJKro%;(k<19#o>Z(+V??Dy%#lf0cx#0W_^yMu6hTY&Ky(CM`D;kHB? zAlgV3Kyubb3E8KSKqQrfkiY~-2u8|!*g6)^G|m3$5d0(aD=pl{Xvvuz!NzPa$5Ix- zNa7hd%5N{l5OjmlWBMHP2%#1M z^+aN+b4}SrLPmn%>?XoR2?r}dn2m3;OV zs@h}823Sqq7%%AT;$=UvhN!==n@!6c%}q=fbV?seCPA&>XqwuI1FCfIE-YFi7>D+w zj2nES0(QU_7ecD}gHyvnj$V2=bMEWu7tN@>ht_@^FLa62VGH}1CKV&!loy?~;;Z?W z_(c5b55C?*M2P7xq9Hd~rXkKTMs(bV`i0#pQ7B35_tnY4`m_;2yMqd)FHV?k>1JaSgVk z)8xnOVgZEG47_V4yhmItVh05ioPx_yeAuVigutM5bm2X6p*{3a^lSp?eNmXSb8&ga zZKH1a{=^~OhmzF-arJRIl|MspyTPYgfG5>V_pm3LC|}{6W86MnK#KeW409ZY0^B{m z=u<_$nkq~(fwH>{LY*?1)N_fv)?&xTfncp|4S&yqMTJ#Lpz?DZdIyW;01(*A^UeaM zzozV}w6CYVyg1AJe}8H`u=z8r-BqgeD+ zwHxq>>;xF zQIWzgKc$YD>EB94h+!DW59Yc#58cE0_<_I|F?&*M!=A5IcG%^h0FWbgFg0zrOY9H9 zxc%E|Flm-Xd$0nTWWz^dHp7ptoPLD!O#LcM{q&#edKlG%q3i8z&1&BOOIIQqX&?%5 zue~HUy|~;C(%%_qHqZWDDwL@K!{8zPgUa(R-Ik0FR8m&f!dyVSdnn?)G&odxLBb$ER4P zSs|mCixnX`V+YvuaKQj2EQbXTxd=O!hyZ6FzKzZdt9+`nGda@t+Cg6DCTj7b0c;zk zbTAKsF7oT=cfCa_yDA?FU*0pT;1$?AMZu5K%+YbM7_Nn+7$NO2uz#ESU_jcS zn)oIQSBlBehT|zT4{O+;-rx;UjRAiRbd~WY{Eav;)wPRuHDSOi{pP!O(|YyMKlOAg z9QrgX@!i+~VJG@|O9>j33BrW2R(rdC*QX6J3bC+1T#5gdL=5;OhV#-*>hAFF&sjJ+ zI+ozgdkbF!ldW3E52wyvrCtB}<%>6@p>qO+Ae~gvKRi|SwWY<+Ntj%Q97__`4_8b= z>BsNtj-H++7aYa$oYH^&*>*J;gV!GBv7o;B&W{OO;BUY{^Fh*RfR*(AxZ0l-uj)Mq zNx`N(?}qRv4p8IyReKO+}sV+)Yy^xUxgUpXDyMwtZ6f zds|wlk{L}R)XyqL+>x(@>){zRDp7|XE@!{I6%S=3$fGhyP2wc>2-i+BQ}yl_oc`Zq zFCz#SNdL*9e%jQ0B2En$4hy=ux|Z{Z_{~H8L>FlsxZIbWtfajAY}XpUgkxtE;$X95 zeXQ-yN`gxHmY2z=J^?3Z}Io)4l%%6+$%84?!XpikH}-Y#G#3>4MUL_ ztRnah2ULWDV5`y{vxUNZ8WS8ws^em_s`WkxTFNranI`OG;_7(O1wWxMap6WjjUf8L z-_VAU7n~!tI!jhUD5J&SYuyn8mH37sR*!DE|Fx5PnVCyqiH)+H2z5vF z*)VuWQN7)C`fl4HDVEe>7i3_@VTPUApsRpc2O;vWfo0vMWO&Px@Fra>@koIJ(}S#- zA;>K4Q1vt7oq>UnR*h^lVZ2Za6e3ibz7Q>Kcri4kNw2vGVQ$va&p8a~?%j3cJ~2LI z$EE6=oH#CuVd^ldVdD^F*hf5rQMEl5L0gE!H78@3ko;bIENM!i?iK_spmx%g%oVob zFeIV>jTsFLC1W{5XT&5k?CN=0u}`gnrvlH+%FdjepFyddrUpAbyu3Cu3`}B#BcQsx z4z3Z@ibs(ttEcK$u;ewp=0%RIOdUjQJIpoL5=h2v+*)tG;Zu;-@KqT+#-;6_ko zcY?t?=V{+!$>?6{jcI)Au>M302MbYtMZa0E9>aP-^(Z`n)EjUc1$)P{?>=Rag(Js z4E+?1avf+HehwnDB|t>j(k=s`P==HuY)^~bCAQ}7NTXcupU{3K&yK_CHK+~9>*qa; zFDW`ps+9NuI0R-Wtn^Q6+m3~33v3w{={PqTV+BpJkI6tsX8~?`M4df{ROPVb&Gd2)1vYW0!g9@~Q`t{rsN%^9SuY~d1f}>83BwBAFO5Kll&9i)30nl_+7s%%# zrt|Jw6r2dXlCl${$^^0BaT-liu(Q-W1l^~SuAf~oQ~Pgr(f0SBE6_gM@Uk4es<1Lr zZ?R>of$OxDR7R!3PN`a63r%%Y%h z(xT(3r8v4gC#8$R?Mvu*!FPs1*>+w>PmDa1Fj$;?-$$)p!x zu(ie)H6j#1_`$o0Kw8~gGR)-C^0Tf6ztN*h)Oy5!GZIh4d5fSG9LEe0S^pa5tYydx1o0Zv0F!t#e|+Mm-Lu{dA}#GWEoY%Or0p^X z|FQT6C)^~C3(x9F{yyYe%BmiTb4wL3vO+-tt-`TupS^ip>`V5=I1>uQj^6xmncAz(kZvP=3Ml zF#~g$S46Xfu}}MLQL3l(rfXjGhF}qlbelL}&S54@ptd$EdQ^$&+jj>zfdN2|^l@&W zw0*j-zS%K`jo&1EaCWiYz2jLrLG0UX>&KN5Z$Jl8zR3B*EdBs}qY*L0cVC8B*N+WLfcH;@q}Z+MGtzXE03BRM zEp}_K!z=0y@#WVE>%;NL&2W%J=IrF^|NfaSwIo z^3*0R)AdO0d{7YM_BY z{GiZlX2j|Q(Y&nuJ>b%w{Zjs`tK^2L-U`U5{fSOvUfna8rw&VIFw6)LL^b|i9JX2t z@!v{Awcr>WglpuNyK?tu8(R49sd?m?7O{0~g61lUeKBlosSd|SB`aqVPY(tQ;wa$e zcFd6SzB8;Q4F@}EY*}4k*%Z5PyxLdzRI4o@>*AC`jZU+oEsri*qA+0EnIy)BCOs95 zXc1YE!AttU(@*`ds=EOla1mc3$lQD?`k^9dk*AV0lg!qlo8mSu4O~8(fiK*LrV9>& zJqE=YRvz+js{6-rQzEhVdk6TLz(w-M`4BA~(soq)g!qZyp4U3OE>PT0B~ZqI#1rJ4V{o^s08pMsXiR<^?oV2e%VBxDv(wfnddVJx4kOdiA=gBnzd z<^2vx#6EPMlfKzi!*?gO=~^+Gu%3JH8#cd`z5U@Hm=!xwt{sI)SEQA*3yeG0(oNqJ zM2b{!8^BZeSE8`y;rImmIxgZ-bN_xAB8?2P`OSldAxoIpuLf?{=NCD3u{l6{P!%oo zK~1PD#KUu zX)HdDFWLXXwK*O`#dCmfsNViyh)TEpQY_Rj7T+B+Y7M(fU~L8@^G?EtQ(=#23oAe* z5431Hw^QL4RR=E^KN7DElyer>3`X2x!t?52;F zIRst}&!HV0`o~c+l_$e5aE6_k*abcII|PVs#9d4Qv;K{iwT%q5&eIMT2OXZN_zEG% z1%Bs`PvArEMJm3(WGt=FWe(j19gs5bB_0%$qqqKw5KA2Q7ViChFB%uacPUg_5{yiE zaHlU{Uc*IXG7+QY!>RrBy#*W!+?g6ZpWNX1KYi%Xp()6ub@;cBLMoMqcd!*r!d7;_ zn1}m}D5Up=G4L-&8)OuEZM&(OOg>vA8T|k)Tm~84usCYL;;)1F{wd0z69fB=W&vo{ zBVE8|4Es{mnATTcVF><2T@3>An~j-~kLWObPGD2I8SJC<_*A6%QgON$C!rilh8yFxTydwW8*_g4WxTF%CmEKVa!HU6n!N3Y)GxK*|oAB~!j#l}J@Z z$SGEo`jWgpih(!*t>XYH0S7UcN-gqO%tBaBHL0O0`Jk9HJ`o+`J;DB_EG1N;cL3ca zbTAeeSQ};`U3~fgTJK|FQmf@2aC6pB-g87RWUSZt^?aF*peIY#HWS|LYk~-dqrDfB z5B;ExuBu0hiV0DO)NO(NT>AFa*^%cO2It zN;u%~rnTo;mof{CE$MZa6!5!7?%Cjy8tlwXInB5DMApAOE^ZCwmzMJLl(#-TsSp!4 zI%>RAY=rlmuimFpZ`(~<(VOpal;shZF>m)SWMcsx?;=Eb6|5WQ={{%5L4VB8-PaVc z*(+7$puYI8GbtsGD=L9jC_g1{+Eq&(F zy*#)BDXLqc4tp|b{cor4Yw~hK6*}%kdG83p=e&tr6^tNjYJ**b zFg2lEffQRL8|7!Kg8Vp%$SkZ_Ixr^KhsDSWCY3q|iPpVR*LRivCjnnd<@9fu&TAT9 znkui2^sYJo5(7MVU8luVJ@cd|Q0ww2H+ z=EyXYvgp`9zNk+s@91TPDV)fa;Bp*IfdojA>a}ZDBqD6jEc_WF$S2#q7eAdKiD2!1 zht08oz~)~VBO0_>D;%heyW?lJdp_@VSDYwSLr==K_sf@O57N{3nwb}qh<@6yU%Vxy zY8mk^p;L0s328HUx&6^-5`w|%zowzH7th1HKgc`S*tM0ghni@Y;507{Gj^tAw917d z_W_TF!o)(KJ?9XSV}5m*QiX|wyZ$Z0F~knO8goy3wZNyCsRY7t?GT59tUu;uo;u&S z9A?*%(xWa^ihjntYDY4Pjlj!>O>G2lhR?n8;|ufBzhdqFuPzX zDdr=vnIS98_$1?eE}m(j&XVQB*+;jba%)PX<|XVoIWX`n_tMzWoiKyy8-Z;NyPi#d z+%h|dQC2%nOtMTaqqYD77U!3i2A+ZIOADI<0}|WrhO8G@hq!3r{HB2Xp#(8YzD|d9 zm6$pQPNDtXpX&hC?zuwF*=j+O-=0)SqxUMxpno>OP`rRb3f)JqWi=+Unz-!crV&E- zflc};W0tL;!&-HG4-bo)w7U0`0D{Jlg>gLk5iOlzKU>erKE!B$}dB|v?= zZ15gu>_ZfUuHt}eaWCk{RT^G!=btXgs75Cg?8HE6XQqx?51HwfZr^I79^PdRC2`OdB z_of&dwlFe+asBI!?dHJ_V72Ps3b^)bL<^ow^4UB&|7N|wVo_M_ymPtD8Ut5UNuK!J zwPZka+>xU7^m5sly=O4k}V?OPkH@hXJ-8j3&WiB8p$H< zD9KvRCxfXgyNELGqk*!{0t^C?#Ak6rggEU`pX+&TS1l{gz#>oWexhDD;XUjwGqP-IN8^B7e{id8X9@5U~^61v`hTk?@Ft6!vI&4rB|Lp*e z?knPtKlRJrLK)Pati7Ab(fl7b1w(X)P0vj>D0LOeu!!*3dp@-T1aDbDZzmo9voS6)i@%kC#BJuB&cls`6;>!I!~e*7AM+jssTR9@AKg123& z+~v=K43$|2NU1&wv-5?iJs$WC+MvjSaQc-^VUEo6@(2VAa!`BpKWUI zVnOdnZooPr%E22(wHL@{8x1Y1{onf7vf8#Y-OX%0x9MoZ6I;g^a!y5xeaxOV&(l0C zR~mh6z7)R}q3RWn#xk#C50dmfl%L<-%jn>p|LClMg|-N@ch7rK41~Qv)1^<)#7VF3 zV?LN5WzkdNPKdXhj5#oBg2D-h=_9*eu~2X1<(wfz{&UH1iE8`zJq<+T*wz~U(cG)% zi}D4G?Z<2KObre+#*z76^A;c>Q1IW`^7)*cuqyc2T56Nyzhw-a-4glAehSC>ZSmif zQ6eewuXZo%)>EDi=fCbou_IDxDVg)v5Lfoc0>tO|a$mU(yeaaiZWCgQHC^H&{I*>F z+CSheh&f(BV4&S$>G$_SXF78#{d-CVwA>(j$cPLsp zNOC<}uhLL>xhh2t$EFB8V=yy@=fOfMgppJLMmgCzI|$;rj9by98^2HgM8>Bd!KQGY z@)5?TCSH5UR2^T{XgXp)xa-JVted<0k}iB(!IHDidAn}1{U(T$8D`&^_mV0dHxn(a-ZYnfy;@$Ca*xaRd0A7WmPsPLmng5;nHeL< zY5`~s?v)^u6cY`$gQ64K)@WIHN*~;o6FU-MzWOyYXez1Lj&@rq3*)nn+goDaBsyK6 z+sZ&BBWmF6I@-IsU+=~_mYsETnr$Xd-6e0LAG)_q)c!8*?(woTyzn5OhRKm2KC-rp z$I20_#H_-VU8LB;vDdHzup&qar9l*O%R^AP7BleP4;^W6icvcj92Al|sW!ejYQ#Q< zVF&Mk9=kV3z|-OJh@OMQ45Q2SiUyzjO=*nmMS>0y?A(8BSFRGNPv-e+_e?M*dWl#c zSP^~O)2a2D5!8Sy(|eeoRfsR|W^lB*FAVF>2CC_$axLxroRL0|JprI(fUJX}kuVAm5P4c+ z)R&*e2LU*_`e`J9wQg>2rhM`mqFFVT3HCx;+9}y$%GYDwX~jEE&o77FHaqjo-^t3T zdB&gitz-;j_AVjEm+wNGxhPrBuQvJ8ty~NLjz_+Fpexndp_RqiUb>ZXIy`nTXFLyy zdkGRFtD!Ka6cKkHI694#aUma5gX6(sr4tur{TD@#8pM)iNs%_9Jgr_HOalT1v03h0 zwIg<4?J`V%Cw^>P-TMB3WukfBAaksK3iu_{-e`&&REbIWgAQITO@b(;;qLwlT{XV~x*o#^&3o$0uu49K*f79$^(Y0$!I9=Yu}lWps3-^od{k<~)lqbJg=rwR*7&fo z9YDua@Z!x!g4WtZlGW~cG%4l07E5V_#rjBc>mGU7T7^uK<-hk}Ja>=YQTBv({d<1V zngb+bl$VFX=`oKo!U=CA=v7o!-H|aWSo5vtSaJj-%;=S1>5cMS-9O{%=C-&3l~m8h zm?EWHy!fDfM^;Ba53$S8w5PuCsoft9ZSXA-K(v)x11v^1ShHSQX*J%6q-~8+z}D?w z5d?*vgV8K8&kgQ78Ad3qZ_nDzd9fSHaW&|zHAuL0;j^Hhu`+h-n&uDn1^pXh*(zyG z!&a*`se@%(k-#%Ia4pm-koGnMJx+#6oHtmB}G2duA5UI`1j$s zNdebHtNGK&Bl+rj%}{uR8wz*i|JdZHF*8_1NM?kMmfd6`+@IuKJMI*5$ikxC8cec_ z_qTIo!S&Ddv+B=JM3i>BTO=PRQ|Qrrp9BLI7$Z5)E>6Of6wbHy(p@DZCf&p0m|Bl@ zO?51qt@f$akqunYmvFi+wsAaEvBTzJ^}Vf@_gzsxmqk;v+ih%7b&4b+x+XZ!HS0_{ z2L4pDGm_E3I%>RxI2_ueY+*i4#7wRvIu$cb=|GmK&&fIWdSGDS+sWq#F;vtdrStd9 zI62;5l+D>egM(WF4(@Aj61&bSvGD2;j6QAKj2R*}XYc+HTQ*+*#8^k-vsyu@+xo4# zM9*{?LFjCCSdAmqnh>5@MAVUpP)TdiV+Y0}s@~Nar7^^tEhwkFH3G+=08`10<6gjA z?1YlTG4Ql^Wqpe3G}J}@PURC`m2&ChQ7L8%UL%$*fup~Esj^v~I!nx5mG1GligW`i zR=N#4wwMo|;H3OI5fFYrlzMXLY-fqbq7~)+AY4L}U#F<1{3$P1idL3PuBeMVSxbR4 z{tEecBhRMWIP~Qf`*-3rdUi(w-?V6FYpYUcsHeg2V`-H*hOLPRwCo;}H|s9WYzJS9e#} zDetHAW~diip(n5WSbUVT_YfUz0SNrx+nTGj@44ksF3KA2nH;zOV3@?QK*`YR`MywF zIp9kZWLuqpPl5Y9^xAY(UYirfJS1X$$^C=PDj2%zLWZ=X-Z0N6|M-z_a;nM9+ze%| zB{B`gGOg>>vz}>8&=naW9O>$Oz2nDE^fvN}t~lF!Sd5*~RP;6 zycg67soD~~|AMO*B}T&#gmT+_LzJT}wqRj|X*r75+l8pe{Hc%M84QA4w# zdcbm*1eUH9rIf}K;FR_hFI!WG=Rfx0W!ugCk&)_l^C#y4%QI{Tq`Di6X9hp=#;A~+iop9T|y-M2f2+G}g;m6K{C2b$DJ!@wJzv)X< z!C9i$v*yq3+NqaUz+Y)rymsC7O`RO%)9B-r$H2g(|m2)~F&q}?_d>+r7J#xU^mknTtjhoTm~IqRza zyjL<^{Wfq5szi7!*8B8Kj#^iNq9j`2%2)sM2wbxTKmSeLd074vTgJD}^*Pb{nGY6j z1WOwx!;$7T?V$xR1ngZqY2tfpNxk_+}C|*vmo!EkoQ`=|T z(?1WcV`P*xbADb&WQh{{8GasiDVTO820Yo!i%Ym73gC$&={zRd4@XGcEJ_?c_V;&cdYU~iFRvs-QFKLL#@ZtJNkR6WMD0Zkv1}e zkIq$*@BYT?dg0nwwpgur0*?=xg`7rojOwAD$da`*g5I;hLjOu$$DXa%-X#Jt2Euc5 z^0l^2uXqEY%$J*G15la)pZJ@Su}YA>$nnQ16HeIFbO?`|hN7JCeSQ@q8M`cE<>e|GSn&$_eQMeFsBg(7*bO({wn0-%DI2dCgGBN*8?J^1S}Rpv>wwIQt1}Q*I#uiXd#@~J%TSvVSW{~}EVQwd~vI#Isgwxjo;FVl%=Qcy5 zTY}Q2C$^#d2$|}o@akU|9U|FKILCLX?FxozH6DpsKY0;J)lhG%-(ziKv?d}8*G(2O zH;Yx`s;AX4|L#Y}SC%Kj!w>Mh3$^TyIg^)niF`ICpBAs#d>y)=1mE>OxrV>cxL^^S zFs2wr<+Zz+lboardY6d$ukd4o}7*-TaP}ZXuar5xHCNs7uMC zR?dmCJ*LDjhtR42peVxEHop&Y|Y6r>n_>LqpL$&ep5`U+@z9u z(5Gn(;1^JF9iUs(7>R9AX+TcyIms~pD;vf1`b=<@I z>GS?GuCDW6;Yj+dOS)b`+dsD4qL(MgG8;yt`40* zc$?N_RaTzYgCXol;*c^5g6t!MJc7qHK@!+})I1c(X^p0|1aP0hR>mOo7?~1=SMi!R z@-~fz+faM=1)UG;o2F`xnQt8FNl~dBKFpgPx)`DR!X;WeFzQa%!hR~b{>}RI7l8OT zoCT`D1XI&>Sd*W^SUmd~JEEO;3|BTJvtI4qen$3JvB!TEuiA(9#+%t~yZP$?srN%X zYd!wPC7cdpFeZV&@6=CSzvkKM*{W@ngFVN$Vt=yddHMP0ma$L1|Ao$6XP|!R$70o%Vkqu%aksHMk)^E|iXaj_ zxzLwlkU<|sdU_7^aj7~UDsGg_c&})5!zmbs>fpe@z%Io`3DsD)jm!)^L@Fl7M6H@L zp{jYuun!?5K^#Lhu3Zw?(xyy2yUI3o9(~wOm}9PBj)`FP9aVMtQG`uWY4Als3%(0! zZD$pj$wKhAWnCCl>PTvI9>#DHBZii}#52yywAuRDt=0^~_XRl#N6W1o-AR3K9M5Bt zT*EBv!Z@q7xcZsLUUp5{ItC3YaSEY326_0?y{W!z#5Zis82a82+KeT=e2mG=M0kNz zpKbRqb@IS4v%TGj``3~O;+zphL^X*xR4e5oLulv`VNElxt4s`KQDGkw{bLk1=zjH| zUm_abLvcbI1=8)kQH;y;cz zyttvw^TLsfMp~o>w5qTgZItt=0XkU(I)(_+Ik6x0_!fZw z7c@675Toh{lT{@J*4u4dALYeyiBbba>!3k4&vm`H^_M6InWV#`B5m}BTbd04=*~9lW-}_(O z`Na=reLm0geBRIN{aVhu;sD)*@B#>dZ1E)&L=)z__fLnm=q9&i?A8Q9Y+PSL#(V?> zqa;N5+_l$ml_dPmIVq~T@I4)NbffFbryaLSzXRgB8?u&r5Rr8@75hOn$RnvD0$vTMJ}Q`I-Ft+6Tl3GO=k~uj+UO7GW5IZ63L3ms^mp$$dsj zqbg5R*$9J~B%U-YyMvdvR?CoT{xfzSW>Fg1?j~3YOn{N;);9#;cn?E+owKQ(J)x_6 z*ekK5b}<2!i}!u9`~=NnFu8C&XKtLY6(rX9sCPuSKVbsmxjnvVgU|$+ZHK~hlW@(i zz_;l^UY>^sEZ#bIST3V@5hfmi+A7zZnf92Zl)d4KXr4Z(P`;?OGCC-OS8~7n~i}$m|lk;PsQYF7B zs~z7eLU`_#ouc!?H?nuDP(axo9F8^bVS`!x1>!PmteI^5?G=tUYXo(Pni{NApN0ek zWo9Qo#k>1U=E;}BB)&@}L-_--D<$N-<)dRiK8*MHh01t+E#v&gkN)w(GjL9OnUASf zgJNGtJgJ4225oXdGV+SEIdQNb8Gs(-$Cd zQpDlIk@Hh?a-)75DY{`(eQY3fnWz|$1-U9y@89#%a#!eV_`b171<}ayNj^9eJ^x2V zcYi-(Wh&Iklmo|i70TdyN||r0pZh1^0&SQ@?cdPi9~k&&6h4EVqwCRJDy@2~rnstV zuFvGX1#zxL#mBhBybt+d5lw1my9d~S$*cb97g<92DhcxF0IxgD>h?2~@_Fu+xe z@+r+!nHc4yOAfH3G&sSXpi}gMQVT}vlmW1dgF#`hk&5s*fntUwt{eS*aXTVcaTMCU z&pf3$H)>zuCdyIIH^Y7tYOz838-NIGq`b&F93FBJ1|jtt$s~Xq>6<9y{M@ttTvu{Z zi#L987bkVg*XgyjHFL&wJsj(ks>C_LdJY;t@cuto6Rd_jU4;T}1nxu&=-ar75wGEq zUuAhy)z!eGnc)n%@FF)OBV)U7hQ!Rl@V`H<`+0j`Zmg*IvIH)hd`{b#5b<6%@UA|T zVkc$HAw!m;bk_CGf9}hbb8!6Jr(Nu7ZNKe^Hz=}MSte)Bag5W(#qVy95%1p8&_#Y4 z>X5}xwFr+Txjg?n_XrVf%co2FR7jY!+27M&IHee8k`7y%NT@CZ2g%2*7Z8^ouUG#V zGprILNPe&P!b!5JgImBBOdDN0p`D2ncU7=_^*gxh9vdf7UYN01YjuEz!J(%CF=^_U z___~3)YY-tq5U1@$a=7F?WJK^4?Dy4$c%B_?xHK2bh%+|ZJv)O{IbIG%ecMZ0r(;0 zhS#P_*hBTs+6?=&KMo|LMAm3sBs`z9u5D^`fa8R*!r3dZL;ey{D?g!MW|*hU$a%=} z9n?+LSGiZWDpmk;?H{48%slS$qutk`zJhQf%)w!loX6@hxagB22+#((2kTY3DGP50)yA=LsvcRSjmRk{&z}Oaqp*apEgq|5gi5P^XBBFx8$<2Bh5*Jh*j$EnRr>uaCILi&5=YktpccqWj_2e_+fO25~w9M;c4H%MZXc z=)}_!uNc#9YF*YU0tSYWg`*U=-M$p@Mb7tqUV*N=48r9Zhn~l9#RADyPm;R&9qB+m zi5@QSwJ1N~FjwqTNQ4?m5sn+Px<}JwyynXx^@k|7)MWF z3|L-C8CT(VtFhDbicE`LM!MM@f#VW~pd>@M;*TLEl?!MW2W(bz-w$hqH5PaI8R9%O zGuwE4=M=b+T|!N4Lsl__{;WXr_m}cEU4i?d{bXE!5-?y4;;??LOhYAx4_BR(V_19BXvGH`+~oMNT~A6~v^h3iId1AQe+m(fkKALCE^B+h<}27w zI-XINqoG-bhLtL$$c`COc=fS5mU zCvV&nksIw_P0-Pl5e;t9rJ9n(2WGDbyh4O@FynZr?d70WR?T^*FO<9>=sAl6FN`m& zFkg;^D1cG9(M&2=-Xyv~ka&ZJj;z2)L;qeEP%Erldy5{hwiexT!vcHhrRU!l*D@1WX>u1suK=XRuT-NoRG$r3ZXQ?XE4X9`VS_)t)(+%|XFC+7FTiMj?ENwJ= zO)F?}(hDr)ZWnF6CPyu|Q(BwTqu`e%0baNQq3bHePzjn@`asE(5{}t&z`09&bguV| z4#=gvk)*shGdPN6irC=-LYcbD$Z*ru?iteSJ8feh>dKghvBUZf!|uHSTLnJ^>-4Iy z>HcjzR%Z*Gpy=ywER>!@`Y%jnhQlnC4QIGr2z45o0e4ahg4T4v+BnosR$r3}!BqLx z&dL^`mQv2L(?aVun*3=DA5T;IL-xUdIvBRRzX95s<6*_w+eVRWp{aL-JL=hAzaBm_ zWbs5X^$TJ>O+(nSL-~=1D4#~KB$VXjZa3o6ZEQmLklXXzooP}LWd#K-Ka&+4)McX4 z@TOhH!lWlj)$!$+#sQaD+HKRdhIt?8SmoOjj-j`WzfgL#!ZB5v5^d)k9T{0~Nxq6i zWBt~?D5g3m_zsKJ%DclDS^X6YrfOzo@Z3~2G@zdVRMeSWRr&3x7P{(5$kP4v5NmHug0Sk=V03##nWssAx+>ec?JjEo>?J6Ub}5CeoHhI)$bZQ zakg>aaY{CQeUE_qrH?gCe!<19p1-6-s6Ra?`dKV!vyje4zTEQ8Q3}yGI+GwqIBod+ z(SrDUM@)*Nz{eiB_c|r*V$Ujyn-cg~k=C2khRu(~m+?P!*>GHy5axRtPIk8yJ+4ex z8^ko7h+$S5sh2mm$DOhJC5My~M}+;1uAL|YN`T}n?{6*21g+BVJDK0*6tmF?Xh<1S zW{R+?j!H3x-qrQ%90n*R3ZdXW2M1`->jQkEsyG=i1L{S&&E)+eSeRV+T}UxoI-N5h zX!Z#t`14Ll_0DPG{{QqpsEK~;tG4;iv~(x@ZEWm_qMd#uS=pf2mu z)qN0e74NW2e_7cMZ$sqp=D&^LRw(dNtVD$3VT>3Dh`O{#;h6KqnZwj_X^ThDk+59PlR2ygDC)AHOm_pr3RF0lGT$<$xcXd zG|K04y&DiHVy5)(0%#MV^@5PD{hPYfJ3JPgAA!NXD`+-M20VVWD~M z#4u`>+xm!w1DDg_p>ZeODl+mA=j(R_iY8c`UWbpd6eWb`ByhmFGRR+t=Y3S<(=UvV zD8;>0)wAkP4TN~ImC1)H=H6sK%W!c-feM?IJmaXyom;PI2l)DC?SYEh6Zh1CEpBWc zDGy5GqSSAV6;VUhIBVI}ORmWN1_DwMPhGn{lB87jAv_wPLhn3h<2yBR4!3{{T_z1f zKEdO^Ro5~lm2QaG4XhKarqA&Ljm{uE8pLjI1hWO7Yd)S z+OBnS^%2u2ib;mUgOR^pMD)~SM}3p1xCYfqse(2`MshtrmA|~HWNFb zRI!Xxb~(;mTmR|o+3i9x(p+Z!JZ_C6&=oII)OTG1{)+e*lod#_(=M?cCRud%wvn3- z4zRhsuLFB|XpLN}B{I3$=K5Y!m4yq}(84YJ)+G|PYJez+hrK0E7nBe;Z_~x%`>EPX z#{4fgC^D$))+1M7?4m;G!#)hH^4ceFpA4N{0VG~7689b(GCw)5DWZ94o$)i&nmjqt z<>p#Akrj!C4%Yarrq@28KTsz4w|D;(s!)W46`n~M+yDUNv=&-tM*nF3FU~|#c3_>Q zBk=XUrqyYh&76-S9!!P~WA0Om5T7?mEhHjp<-0h)zuhgaj;H`)e~X82&MP(~%+2$j e`M>vIYOG6^g?oS7UERnN507H-<_muWSZ0fd zcU`QZqV(3!d=E=@Y5vZ8E8zf0noiXIkv@v_c^lD-H;S(Xc?%a_UPgbQQ+Ltxh|np} zc`@DcXO_dcTkBR4vv~Y5<*ZNgGn-G=B$*#xHsvQjf3;4bahHRN?ROf{z2xfvu&4Z# zuN)>YZ~QEH4Bge>nde|JZ|;x_$~!>kG@r@yP>~W5gekK9|9+XxFO?>K%(giNR+yov ztv?RXBr$~9ji(8)8aLRENn@7#5T)Rr>mrRW`fn`=|9jwJMv1J1r{h66Y840M8468( z6s3j%%Mijex5W$1^{z!$H6jIIYA0%2_o&Eg)6ZFII zYVXe)q*!xi;1k)*EV7la2WO9BzR)}{pdOtPY>QYvS{v;T%IP#Yf7ZAc8Zz`_;Hv<$ zn}**sM21el*vsHT9Oqe8I;ClD($(v$Gu9q-c*uA6-`IfCCPpo5+|r-$^hi-RSj?NKgtQGF1hol7v35Ku z#_mbHS=5s}Nvpo_c_emY49%@gKDj!(@du|{Rt#*7ltPab{(Zd2HxNM|DH^`?Tfqq3 zUfe2V+~lY-+I}(~UsiQChdxP7OEZ!7E%UDH*$$uBm;U`dD8{&Wi)0+=>QT`A;+nV$ zsfPT!d1PV|^hCh{fLYnGky&;_W+bnKHZQGEq^HASf1CkUaRhkv2tQ(t@qqWvl5v--6^S#$*H8T-u* zXZ&Ho+2JZ|UedNz*UMO2N7&g(qX7nVgn%z`sZ39PQo}gJ2#5tOadId@8TTxT8Zj7I?UjmT8SgM4DWji>Mbig0K9aO zRnp#uiuq9tMgL{NTqS32<#H|$q&&F43IZ=Vs`dAp{-|&&?TZ4)bBT@o>j+{RJydT1&o*E z8r~+q2^*qskR3nE|9K)U4=|oEM^`i2uZViXXWePKjAM=OJMi0EpHT-weVwAWdYzaQ z%KMqiMvv1;@Xh*RqT0DU3$l+6pc$<#0rd6DFcu?QpWA@SU5Jp3p$u?MuiBMcbOqzN<2& z$NtwmmY@K9%%Cp;SItYRRr$J0J?XjIHxIrrf~GUC(*CcS!8l0+@_VPHt@DJ>GUv^x z0UYI)AsF*2gO-j&pThPOvznm(o~O(#M;1-ZXwYZ~+qBSL8<2s0Yt-KTrOdmFA`QI& z6xd=VTlY`r_XS5mVfNQ*94N83it|U2c^YT3r>3b-Jv=6u0T8L{AYE{iKu+MlsvnMX zq9U|Ry(#MC^ISciU0Mc(&JkehGeRt1e1{GG+jKqW{k$0oK{#RUD;spjd>S9pWiT%2 zo{H&3f_W0;2jyv+(vNq;(x-hNhoHd?9ck)lvHL~$7`7}tL(ml`tpP~XJGcMoFHfz> z(L|xtYt%;JZdfY0YpaXu)>>ZH4--jc(u`nQKqk5NZf&(7mudDQt=+poQkkJVXzEFH zo(XerOIEX0GoZ5C6&wUuGBk0`c*pGd_fB001HN&1{IWg(V%$9E-Otkel)!jT}OhSOBtlx1V`tTJrUaSFg$7Ll4k{079l4^ESB8f#pJd}ZpK6=^X z1T^S|p<>AaG~y#W~f&zB%yc=`A05t=DiUWhwhp$yf9GZc9r>$V#R zf+57bBax8f+}2tM#s4}&hx7vr{gWdeWa4fsJ^q1uT{Q)@zAG0=d!*y@<>)eB8mnTr z%GulAuIkN6_9Vv@0JWW>rwzNXB-T3UDuyc~AoF9SZOtoUw zTGnSmDCV0rAArz4T0glf5FS`LU5TA7>?`nr)OI-P7_H77GWlO-5l3eHk;k`G@fRy; zQ*L3~WMZHH%(JYYaM`@knx;1oVxHFbtyD0srJT8c<~L!?XlSDCkpQi_H7vkZB3b8AS~F5KyCgr4gY!CbviHZ1v)+ zPO`smOC()U_Bz4;JPnm?Y+-&S3B7$TLFL7AFI4$7$5`eY*kjUoWRloC;vQW~fk8Xt#jqcvy4O~m1|Bz3D zCVOGazM6>YAg!KPU8_IgsV(d>ZWVyko@|HpOa;Br;ibpSgVY*o5sOW_s>k5^YDGy{q zYKnsH&A>sKM;d!qH9@PVm&IUBS5dvEO zQgXdt?E6) zE{#7YA;1ozC{qulxX}~1_7KDkZn9GbUd3ZhH^2Ba9Pn~NSV-f94K*iaW_FWHY7&T| zk{ijoN86y4g1oH%PSf%iu10K2#=UCPZ1H&n4g9evm9y=y^s7>uT=5suUFV{D0nzDX z;EAEgG+0$*$IfjjT3T9?UkqC4I!&~WH*dU51RiMw>0g;kth2N?w7NKOZt~hN2eJth zOh0s29Kzg`*lgA0eE8|~)6eB6TDuOPJCFaOiT{f?Sz_(!){>^);&IelS`uf=Qz?$t z^kNTMaf#;>O~*!Q&l)0fRBUs73nY^~Np|k>K*cVYno7I&#m$C`9@@zgZBzPb8}YU0 zTEI$ikUaD&we?DMDiIA!G3 zJ{;H1_F7gckgJ+CXSJSJU8>~kXm{2+jg_6|DaoWW;G|5`v-k4g_Wk}pjrkMney>FA zB1I+oI?j`FZd1_*$Dz*huMK1_bBn~*ly}L72#4M!OQh$R=0g7s z6>jV?dF{LUuBIb#tLw_05G0$VO6djjg~bc_Hk_TJ(AJt8)ge}zsf@V8zig3adCMGm zU$rng3Wb-M|6rT|NvWOG1GwPh-vHMCtaLzOgR)*Vb8d$(m)P2w2>evTRWCJq2x-S{ z#-=V;a(jh&dz7+VK4}N`Z}1`oYophAtWb~NUTZHFS#Zcj80K-Ollwa<`ok@!{pI$J zT}V_MnV{&ZVFJbD1LJ$gdA#p;IFOp@z?WpTFna3a+oXkqDw9iLz92rBHj&B4rwMOq z#)wtGv>sDRUYWib7-$juh+6%v9nnwAx}Iuo>>+2l52Xtz1MpK@!)p(w$VXXJ+C6hG zQv$yqQ+R26rpp!ia(jIRSO!_;s7+sZI8=<ubNxtSh zdQ!QbL}IluQhD1vUP-~tvV9I%)jqMSk+y+SNtSRXscAUE*Cni&{4_LswJ|5<*m_j! z*Ztmb1)t{FAGO)tSXvtC^xDsWwTTrT^5hOdm@7T5;NkwCmvG)~>AGH4mBK@sbR%os zT!%4Mel05RFdpP#*RbCS6+bQ+?VB~zY z$+f|2TwQ%BYjZWnxj9qS$zGhvx~DzGz{3qD!Z)~T`trSekJkp>`Q1mZ<=(@aa(Fw= zQ^2*(E2TIj2bbNikfk44pRhiKYrVUWe^QJ`?DU*rfEIx=d7}0+^sdn9iq--aZP(yXau9WyE zt3xWg%iuc&imks$GJ5<25L2$g>%I6G<@#>ylU^7^61m%JY<+loHj^&9*WYh^Oq1tp@~C+*ySj-19& zwsN>~;1TygX)AI^opUnLrni8J2znhws|OLF&V2BDp7Qk}r>*EErg5rl2LW2r5(4hU zjX7vio$~Q3{nemylJf}i^k%xdy(W-$*?ve^!TKw>KRCz&h{#QocMEya12n3NmTr8a zg}647UJOnQY=z!j^KN?KUD8cGY%H@*X z4wd}|qcOGGe8lxIgRO+c1{>fi6{GMV0#ja#zGOyEP?gpcf zRfqI*9F+1AF($&Aa@GZWCt>HV(B{y!)l<+(bd?h)LbD&Ku#kW%u)Ek3UCDktb(t)<>oL|_0)BgkM8wZT_)W47?2 zRnMFP5XaQKJ3YL17+dZ+zM~o9gfK>R??{= zmt1+NI!n*sGd-iyuR!#~5}Abmb8C!4C2xugn>eSWP$=J=6AV6cn)Z!4QS6hMLh>%wai0{mYP$VRpBz)~g0 zfSHOXq+*nwD9(0G=8y09$deupYM{b6&=7*>Rr1KCr(j$gPw%O0IVhs_GI z!0}Q4f)5xSQ$!~$IzdUJfcvAWC2A?R*~(W{2#;woU&=g14jL*mZkV?7iB}S<%-msl zWwWd-Rhc{msb(7gVw?Bw{2)KGqlN#oe!W>W0gsidp)0>-BkcBnXqS{I0uL8bGGi3I zb;prue>Yf~j>R=YED?^V@vCg+&JPz(=Rhro5sPqBurpWo#FiM6>Am1RiXf`dFNcgz z-&4diZ_`Fq@zswcU)mm1T8P|qAWx!|FxLA?F4Y^S)W^gXqa9I_x)k^TXexJumYlm) zLXw$g)r->Lt8jz9?|vsnuemiZ2E+7!k-XM@0j!G04*dBR3vM2ISX3!cAMaRV*BExY zGfs44#~yR2FnPne{R6>DeL)rQ10HyCWBQ|c$=@=-TuNn1<1+t{ z@M~%4i`*E$r`owTBG{2+QZbo9jFU1tj8`K3>yLY`Sg%5*0!V41D@irOAGJo4H*o4x z&aNSfuBTXPO)bysVW-ku{q8O)D$@Iw-_h7p&%VG($_A6y8W&tXeg^UfYFi)#uT4ki zcl$bvS2wmi7LChcb&kBYinfbZSiteQfFD~NipgK#HI_$zg*GD1?cN(FZ9earB{mzAztGMSZmzIt~g*-80D z-Xo4RCafycx80?RAh~AS@U~_jTLJ0Urv;b4|8#F9Pf+q;(FWb*xA)XAuQ7ujT_9W6 zS*s=2))g?_EgT0+XELAe1bLfYa=Zy#oHjiz1Q{@ZQ+soZZ(O0}Rriq^e59j!VAkb# zxMoX1twYscGkWqUO{K0of$w>3Uwk3%+@DA{%=Y-vzj}0O9Q10eeIQ8nzySBqBJoLF z+f;9ZUDR_&d1cZoYl553@AhvRYS~C~{P?0VW~bNohop>Sa7+I}k^23S*sVNP@trRt zl%n3iRx!ir)?neE=>|23{=US4EB9rG$f9{8ABYU`9;x%P6Me8Jc z11%9a;v+L{`8!upL0IcIG@ak4qw|lG{oH%a)uDiqg|y+PHRpDsgJ$BpH%r|9`jgVYIf23@A1>!$=TwsN#|LV2zR6L(_&uEsAJ~?t znWOB_-j@5(bv~MNJwrFuSc;YyJ(o~BW}bI?Vbbb`joex5xp9j8jcI*u$QiiN{^r$? zcy~0kDoNLx&UZrr^kt=)`!ww^OFUJCHaL!ep!u@E0ahYCpw2S1iw?}wx_&>B#qqjT z0cU8hh-hO4W#$;h;33}-E$G1C1@w>jL9yPs#Kd@i5>QV(IgCoqN!$80le`qMsYJY1 z?PePHC=i6?u8ghs_+mCy5EM#7kJ&~btUM)rTtnPvO0+d&e-z+1N~09XKbk0U%ooRo zDpy@py~j_k4$}RRxz`@m$!0?94pmM`G3@rxpVZ%i&d~Aa%EG6@ z8M|Lw2wh231GEg`vW}gW{r>@59@l_EQxn0ud8T9vw$-ZFBwu`+W&WakNDP*ws(MD% z(cRxhipM33KN+}cjZDDgK-=5hv0e29qmOM1nWq_6Zjt`9=42fYnMqI7h5KWU9e^vn z&sqp6iP?Z`>DSSKeSk(syx)Z&!Ypz^9izk8-Kr9R)lqnFAF#w8cppqc$l`8lbNg~` ziIRQ}#rTA6`-Uz&ndkxXvX(J5Y|S2fFw%tIqEt3c!j>-q({)-rMD9bah1miqlt_Tt z-D=WU@xAef+Ycq0=VIhnmRa5)Sy0)+&;^ZeAbbe$3bi{}o zAkZ?8*>c+wnX$np__$hb-wn-w`YFaqorRENyD#`J`_`|~q|5$Vb^5JIzWrl0l9E^a zQo?4i$&_uODuojFIiz(~cu#XzL1^=fDatfB>_h2KMm{-^ogO0cnq_DJBofEXDk{G!WEUZJPHkJ`AP*U%~wy! zxLH$5_NN2p?qctSMT{z|gUqNcYs1qid;E_2nOO<$(yhsNvT{hH1h4k*+M-`89A zXk#b!ho#{m5L5opMHkbFXJt_fz5+_aY`8y-4jN_Nt?FQJ#@+&Xzx2-I3Yf!<62`2v zL^4{uPmb2%{yVP?Bz2ozCr(}N4W9gdm`?{KF4W4_tjcLHHe?`5f4@TjBd`Uv@*WV5 zm$JfsuTRe>L|e~1tbEF3Q^=D2Iiptc1G$m|Zzlv*IUaN`yPqcnli7VylzZxJH^Wz8 zL#c8u2s&VY!SRqk=&~9kegyKu;8NN#(esG?&uo~m@(^B;Mo}+CB5+jd+0jO46PE~; zCBhvMn03;ZR7=>9Nv+875`Jfv(+SoEG-$OqKG9&5aOH`*V9b%1dfTega|8>M-iE(q zrQ8vn%kE$@8RNDHKy;$FZTZFy-uf2hA6`uON0w0b{@icA6Q}J}9o|cvqwL1LsCrti z?56w+B_^@zGkRPzD&^YV1F8H1LVR73TF>w4_2H`h$G<;jA!b&hjFXQ$j`Jg0L4S-= z8e#{jcEb*Q{Qz8OJoWym&iz@1n`|Q%6fqf)57B zzgDJXW@5%TpU@l>YJ*hyKxQaIT4Ny%IqH~i5{PPgv_Jwa&X};g2pS=#a#U22Nm%Cl zfH!@?m-A)AQ*LbQA>Mq8I1BH)2E>=1pONx_k&hdcyL%6JYvc04O*UD9KPVoxKNb~i z8}$o_&h$TM9G}Vt3vwb&__m-gVCjNpSq}tFpFQT>W|4nxMEC8}-Js155;Brr!IwJn zKY@7d5lzs3wOIC5sifCLatRR-1-&v_@e@}b<;Rr7L2qg0>Mqy=_f0oa&VQV-@{ck> zKa!AXx`CR?&E&ni=icB&Sqm~g3DCMvyKLEVa)d%mtv;rj{N}?fn`G^L9SNV+RJk>3 zU`{s;WLY_brUOut3WkfbHG=3L%?p1A(jKqi`{X)ENaMK1iku$$Nzwp$`T9YFkT>#8 z<&2WvHsKDFu+;!)laI~5yLejBsp{^ z$Tt3Y*XCDaNpqzRMR&~xDWI}L(H1|^0+R9jKg8j2fK{@hbugszuP3DyE!px__@g`SWzy~&%~UGLPmfT&3!!pGBM9Kjj`VoH*5~Da1k5ZJ^IQ_v& z;J%tNUJ`Vs$qo~+qj#G9EXVRgI1pd)6&V&O8?)`}J^7H4%IzADBcyC?YEG>x+7jTx z)=P7reCuI8p{v+cM$1(5*;=;ePbv2aFM+b!fB;gW>CTwvbT+y+;VLF*`X7UzZqQ_$ zE0GfAT_TQ9gE{KH7{k~uBoI!fTgKr=CEjE4Drt;(PsZ~J<4=o9xDRKeqJXVljV9RX zkhc6Zd&<9|$_$X6jogJj{{>oK{t{?*P+4h1QzbA%EUxz8J$#p$lFmLS4WcwJpto?K z&?<>E+W3<3r{*$JkhgT8(q*DGaGa%aYKgSune}Uu7oXWFkR@u+Fm?7K;%WUP3u=SW zJ4xDM?~~>NSxqc7^_>Rax@vRV!yrLs`{Cu6WJ4Z8DC$X+D zulW#-gP>&Js1;$ybsXSmcUwDy_1LnFeDa|;TSb7R-IQ@+St=?oxnY8xVHO)g=K2B) zy2-;f!teDTm;+rGWrB~V)u<2-d+1CiMV~!;mnRM6I?`Z>@r>UkbFC@ zsae92qR{w9ld<*xSZ!C58+!ivi>h_2WpidSLk8QN6~yNiv7J!WqPZwA_%X;|b=Hw2 z`s=dcYfHR^TIuHv*(`Y()$GtJz*(%ut~`!yu6aF|CcfDd{4+f@sdP7^)lO@go6_~N z`Sg7R<(Igq#D%*$h;QWG7hg)m(p??kCaJgXeE9mLD?zb^U?$q%l{Wh12gex|ZEufp zl8*Y_g93fV%KsV1g)xadi#zZ|Mf=r8;8`Hj%S7BHR5ZziWmd5- ztktHIgaiA@i(usk$Is>(84@|Md@W6RdSF1PqP!;cf@bLMymL8@D8*g7C~gKlvBZlK zV)F`&w>h)!NO6CNH7F7vVdJ(j{;Cr!@_7Z;csrY;VWq1ONd(Z#t~g371L!>paq|u> zn{Vdy=E%X!HND;$@{)J-mS5r6!0s?zHY)*Hf*p;*R+;dE0-FUa++6dknb|g-$M5@U zTNy0**buze@h=sjrnhnt9_gi!S4ep593#A5uypoLSx23U9M9j{xi-Nv<{AE+!I9kx zL9#wobDUwqBNz9dZ&;qMZ>?BZ>gKlMIoZt!lCs!(3m>Tw1n+fwZ867u4N?4k!rlCk z@d`htC%Kk(7*KNaSYMm=@OSS5}E`F4FYZH{;R!Oc#1y zv`52&2+%w`+1#;Hl6Xmn@_Hh^q2x+KH6iv;kxh5KmGEO@4YP)H6Ty}NG(H8Gl@?Ay z?Tu8=Wx4zJFTsU(xvNQ|z{auCb)tjB=fTtNg5n46MA?xnrcZs`4%+%n#2;sb zM)@%{!Rl5u&(UhjN>w9^lcgRhpJmXx&BudPLI0x@xV~#2@d7`^Vip3=pY^-PahplY z^zCnhDfQ!I@Nx>MHfEfrgMNv;^N{9q?z-B2#(fQ^>Lio{a<-J= zLLcT>loJF&QJ!qx(4X>7BcQ8^w>!3|ft-V&qRX-C0cpq-k}9H+PXTT*CT%!E$fNj~1@ zW2KSbgCV^syzquzjOiAiT(ATO2+e?-CDJ($)*c-3>~REA`Hl{~8ck3%J@3yEKTE~7`gg6a zQXLZZR~~iwaF*I@!tqx}GG+M|yP{4BtpRp64YkODBEP%^1> zeSCp*GTqh3)tssGC+{d8qk2-1m@cDc(xtu8o^TB*Z_#JpIK9ZP>iFJ4_i2uveApTr zeiasppEaP_^u@acVg|-a-6aY^g{P8duIePd+fcsm>AvdXu6A(&U500f#*^32DB{-} z1gf(`HL*_)^N{_Dl=6wSyKz6r1tjtY1$#o0-nrzRn>U8dj) zIcUjLich16ijnQ&!O(%mQ%W;*6+>%_seyk)kEyHwiFu_+0krLPYfX@&2sU>EFmrlg z_>xb4F+1bC&VSlD{|Q)d$fC*Vtai6ul?IqCH2-qZi~RCA--PZj7gUWlk5y zbSG$gJ6$q~<|^hP#-(t+Dl;(8_3DE(`lq+rC(&Fx zle6cK4Vf1|NHhu5gpF?nmWuSNhB8ZLGd}SOCVRlI?zuH7!1*iD1%E)^+vg(l1VI_n zeJSe?P0l!bJ7m{PeM)}zfHhqZp7OxFx!yenD?MFLpnz?m-BG_wq^Jk*xFoYqn){mb zgf&!aQx9&UBu)Nt2#r`(;ailg)X@pSY$xPK*(*n}tpT&mCR;+6MYTKLg_!r$&hWws zWn!z!SrefLs`?ZqIE*}1>ye8^+k6en*9i_;N0^2V6FWZoY7$7k+10HR5IR>=>o$oG zIx;wK?xY*O6LoCRs14p^PA_Y4chi|p2`AhfN{2nO8V>aEEG2P}b^ zzb&0C-G)TJJ)2hC2C`Pb1?QAHs8(Fxku)XWSd7`i&d%ZNjknHf8=Jt{%e2v~8QyQX zfiP8n$cVJ{T1u#e@-}~fmg|{KPe}bW^FHeMSEm<9Kgf&Z=YC{U9E^{d<>8$S>@qNf z1hz*fI}7;th%khfMT<$4JU392t6zn$6KNdWsx8F0#c~bo^%LIToAf&`m}_6YCtBBS zFZjy5l_xLc)q6(QiWXB!Y9Oi4j7zAqR#XwnGPI?MQ{?e|v#l^K`Nt6?fLcwbxve(= z>oM9?V@us2VPj8Z}^vc|b zb0hF^x>(}rS81 zEfg${RNXb2ktWU`7O5M4P+V{fEaW)Bvljcjtzbci?n7TY9yh%p_I6S>LI06~R{MNz z-*~MHO;DGEYY2=C)mfwTHUcLX_dXkx@YMfiyeJZ23_$s281?vQ+qsCAin=~&CI7&K ztg?Ijf$m1i`TpOjE(#Vn0s!WS*7;!9YcoQ1INf9c$)Z@tE=S(a(HMo38iS6`0OxIE+&(( zp1}Y&ToVbox|H%czy1zHSXA2F+_vsme`&johZ!8uZ=jO)sYORq<5VWBq%N^_n6NVJ zEwWW#uK?6flA?0G(zMrJRt>QcC};7)?a+zekF^miT$9a40ppxbzZI^$ez@JcvEK%N z&Ev~6Om=?)`nrio>G}dUgNE1n9sZd}!SUgJjyOtz2JciYF}?4~L35A(N)=<%=z`N% z2fltlic?IB$_NBnwt8)X1zysHU;_~*u~$H2AC$M#glAyN?zo1Qg+-upW?oOZB)G}L z8^{2dyAj9>7g%0F`1by`rm=0wreb5+ws2$mCTb$khdy=m88RQSREtC){1>kNUUpNT zd=)^x+8`lVx*wHSYtbt&&>862aat-=6iWwG-@3ej7d@SleF{2l1Z$HCT|@uSIv0uS z4)@@c25fZ#eV1R;O{C%SSCPeM#yA1K|E8w*$;%mBoYLzI-9Nicj0yK_Vis)lGE92? zK|xlQ!TxD`!V+u#aAos9RX+x&>R%w@r^+DpYc1A$aCwD+EW-mEsgJiH-%Wb6_5*%p z9_O-FY3ljzXzWVvoE%R)JJ40gh?m|eI~u2f9`}%774me*uG@oF4EJnrgjFZMu=~4` zv-8Fc{nU3c&0jv6bQwS|@Bb&1r{7DM z#`k%)1R3F9kifPw%FGbtYHN}5S?%i6u7s86dJc5BGXIY+GYq=fBk0Q* zQsvxkjLTPe0|NF1ajvQlRH}L|J0rMIv4*_#H^D=I%WtYZN-811Rmr1jh3n5a;l7{r z4;@3HdM_hwB2WqSF`%+Gtj~|6)_bX&R!IT4n&LIf$DJ;2@m|Ha5sQIHZRLEi@A5kH zLV$H%I_;J;R7K>o-jM7qi%a`_P#m<#XLiF(JVlhye$#w@UONV*$5))zbyb=UnW6ym z_7*D(AYTXb|NT;J(%KVv_~+Y(Sow{2?TD1XY&S!p$iRRAc?VX1ZmN*`i^uCGs>7M9 zETk?^h1IH-zsYfKhc<6vkQYJdSq8n>1FGYuvqkcw;CUXI;Gcw zol5=X+%)XYSkpmME+h%F_r?dO@gN9$EPFl_aa^gEx3TsTrSw;Gm`STvAdRK&ID|rG zuMRK~5>RP(U^DU*c`(8;ZecQiqn-b$wD(7TQUtgvn@42f99;*$e)|3j{5eNK)rhT5 zJNzt{1|Mu4J+aC^0YW}SoPS*`VJ|)~#f*C$+DVp9fk6I;412zPmER?bv~|T`#ajk+ z8~<9^GvScvvpiM+>tbZ2Xi!rl~xHoUHLQy5< z*4k5BCQn!Aqqgune519c4F;3wCY*zbni+3FDGYGal9j`1fzIK5gJ1wTz}M|0Nn(%P zUFo8la$yhXzp5Lrv=F`PkJBCs?CcGN7fRmjR6GaBtlJC@dhtN>dk?n7&IIO`h$T^J z{dc~U7*@>Z6Q;T@ra&m3j!#*R2Wak9XFwp?2O4B_aM~N?jAB0d@ZiH^{kmReh1S7c ze$bfLwMr7hjeVhP+iOgxBpcE)bux$x+&8f=Hc9)?pE8t**i*dyGqnCJsPwx72ftB`g3dw`CnWiB^d=|aE&SM?b^SDTzI zx+A?mgX+8e`3kI1Gxis{Ei2#TNCR+AY8rmVy&Ymlvbu65H9`ne85*sCNW3acx^G2RtW&?!rYA)7S?Cp?vIrvcS;NwBimJBXoSTudB zgG2k##GQUi)@toacD)L^(aQHpM3z=^))w6;d0s24Opi##74f_b+{c9t z+q*%E-7io0xTxO*RGciandxtG_8Ay56uC?bx66wza~rQv%+IS$Cp5LVmPQ2{ny@N? zH>a9us;XWz%Rq?Jj|qn$g7b(s{D^&dwY#~9!W&L=UKcU|I#3GoUC zW&2s?5Yslasb*p()*+3LUY{wF{8;E-uEt{E*TILNtz2Kh|0^l!GrU<431JsqaLDp| z(a{Ugn5hlpPDp&&%=u0P4fR%C8)~Fm;Oe z`@U+Wa{g7&{eYEYiM!W$U#-?c2Rj(w7=vEF)HT)9@oYU_RXDemzS<^?Fk3Ht$^E);_ z!JxD3G^IYHbe-UFa!6HNkSlChmA}g&XTm7vWKO?#3eZ+XzTTY;jXYT-65Oq2M!OI= zxOVza7$b)rCy9~M$Ig;~8J{oD*ejua$jlAOVV&SFZ9Zj?kAzJr%OT;*lx+9uczl*xcvj%L*AvT*D$?#Wx?tH@6w-+{LpoZ z+^YxpjYJaZM{d_faU(CjK6wwHkZCAi;`M%x%hVfY?Pe+CTK8#L#lLO1o2Eg}j9VDX8B{-Vd@;=qF}9#sEV(9b z_X%i@%Q9x>=u7^2Ut`kB8)WO34VuVG%+(w8NmHPg(XN74bz}0y&a{R2p8CqQJQFU) zPF}Z3<9bmk!&Cbr2BAQ^EHq@NmIfvu z*gsQ`={Q=cG)8$3q#{W-lYpdLZS~R;1svgt8tn70&nRgt`KM0^BVF$#9(7OYI1M}T z$X%W^UG>)%#|rj5GYi`2M*R842)PJ3+x6PyzP6Cwn(ECFY`Oeo(aBW}-@w{2LK^M$ z0R~+2_5UmMA{dOjNt}T%N*uVWo^uSZ!Me$|GD|g+wa#(+*C@FIEhui$YtnK>zAw0b z4Mb;|iihW7gstntXvD#dBX6R+PxvOQ zEZD5wNT{FBJBt^@tBuNm`>l~XO}K*9oxX9;%nFUg^bw^Xl%+s7v8BWBVTWOYvMoC8;2*P(-J01I{K)%u~=;iHiB z$&2j~#shhU>s{Sqpc%%+xcB!bQR$i{Z%+mfZrA#$9{&Hya4LHHyD|Ad@elThNTV21 zN5%}0&gkBYo#Q;D$S2;IRW?Lg+veWYgnyks(|V3sALCC?&h*5W-=&f%+g(x&RP^*# zbnDQdLevu5eF5%6OJzpXq2*VL&Y!@_U*66Kpxu2$+1Gg9`5fhGd@d{u+>Rre6nv9ymXcJ7p@+ltV_cWwj7-2{Y{BGsE2oV!~v@O><$3} zU+N}5>Qu^;h-OS&?$&=ywQt1l&iWqw>uQB!#0XtS-BL@N$w{=E&1zY=2^19lUbg?a zL=9{ZXk<2NvODhoNdq}-ub+T8K>xgond4IrI#I4EKdkE9&&rXOQ&P5tTeU`*C}u;6mRYZKi>HnmrXT1pKM$Q{*fn6z+4Li! zUymgHWMSm+ctryFP?9T>?Aww-w=2mU@>%?e9y()1DkBBTOwILRnonzT#vY)J=u>Jf5u1*+CezT?>FtdhwQ}Yd?WnQI%{~>7r=%N}QUU`buk8@jGg!M9n^b*6SxW|?k$a#Z zIli+nMwWPh#P58ohTHcY(>4>Qx}IK~*JnxbHITPIAboZJvTrAO>Wy4H5mi%DJ*8-S zwR_DNX);SjB7KAScH;YDUcPC9NuBV9)FjW~<-afej$n)&xNA{!XjCl@d!1Ad&E^Kg zl>hZi$j+hwy#pMH{!~>qITRj<`l(Lv{xWlyrRtFrXP6u26W4cDE*9cj z5tNlsSN^DG7BSL9)T358yDdjj^c!qYI(rgc;#CPG<`H%1s~El@9|qZt%+%F{&@foQ;?L`j8uKwee04& ziN#RyNFz96Q)*6xD#M*VN&8O=?6W=M?wgZb?*(v+S9Ea)qKnxIX?Sfuy6%~3*4HD6 zUh_OOuF{1K_nn!Hj3eKin#Y~d6slm>^`orW7ue!~IfM|mMn zic5ilUw?J7WY5cnxTBY8cV`@W&rd|bDqh{ZCa7ie?hCHMJ$?Nm=19pMt|kt3s+Sue zoDPcC9~r8rU~2oS;RQj*i;$)AVD2(=?nRY^XiLg7ui%=@nz=}L8*pY2k0ZAlM}hjC zqgY;!suD(@Ql3e%8Jyf~D1CROoIB9xRzgPHyOMuQP~$=RI?{_wvf8iWFjsmR<;*#4 zf1x~eA1vACxz^7(u8-%fJ`mx$S6~`q6+AoW^Xk|7pIc-P6var$bj7m4L&tyq?vOs@ z%6rmK{FJ}GC7ayqVsh6}!xgK^S4w_WU2Cvz-RTz`z_6F7iNMp}J70pe)xc5QPlHcZ)f;{d2Vd|^nntZ?aNrxf~ z3_`j@#es^_uu%e1DhkR-K>=ZOcZdV20ZNy&O2^RApfEtXy9OIwqelH6e1Bfw*YDqL zz%$N$pZlEaT-SAzLvnv6XT+6x%QgqcRm4`=2R~Zh*R*g(_w#?8sM`qWV(YBsuQ+}U#$Dr1H+i!ezX(?y%uSnfm^o3Fr z%t{-wvt+*Ok6UiKk)Pe+T`<5Okji#!r?2g{j{c&}`gm~;&UHJ*uToKD*_0WaJ2~d~z-NHF67MgJ)41qNM0c}n%~yWW z3I{nu0rFi!%97?hxb`Q0yl`^kgYN!)v3V)-v+*Wb2wdUs$!@@Bzg>Vt+id`F^etDn zQhleyyuj71@5FMeL@QIb(xN(N8{E_8=vOydOI>@ye|Mm5Mz;ll53_E@-iSr|-Ks~? zIHljh4EADHo8teZm&@3`D{OqHW0d*lP!mg9*FWnUv!3+gxFq{sE+3zDOo1s$wCgx= zDyZFGW7FAz82jG0)KIMQwxjKG%31K3W)C#Bxo2}&rZ$@%Ytd>TR=m7642Y{5+#5rb zdPFz*nl-Tz1zdM{dpbFd+$?L7I%0B1?RS<{mJC!dlG}XpY}k9DNNRts+T*YCegT(B zi>={K{jtA0K<>6aCQyy?yIW>NI{=-nuOyP6*aJv#SAu@C^2h?m#IKmqhql=fX3tW1&|>Iu)6ZrzJuEz8+qIMAxg%%}K`mZ>u8 zyXeeuTp6dSR#&vW+)kn;&9HNuA4(FWaKJQ|4enXey!t7oc#u`2j@zK7M>2yUarY*7zwV z(?hn#sY{(?dOFbQih{Z?0`Tu?`k^7{8$*ZyaWV*+0|5yfcsv@$yqEx@2=>o zr*QcN7gsrlpCp_N0DW@?rF-Ytz&D-#zJ)@ygZaOeta<(hWSREyb$C?MFOb02tu|_U z8AhF$DD;(p7rsUpxJMbThtT&ULdSYRjPe;FM)YGD%#5JZG}-{oEqG;6tpGM z!vQ3AWtg%zd9pQkV3L^rZXM zm@4vlg#NJB>wEMB}?0=5?dCt`7k! zGq&n&5*k~KR24!&FFB?s_+POuxhTBU8oRVqS@&Z%7`*Ib!#k=}Sou}-w2UMisHgk* zH~V2Znj_;vvh$o%!mT7q-G`}aeQ?L$Ql}RgDdROdI_U^$e(Jra2sF?4o zawQLF{8H)H(q7%+?WQE}(u6uvdK#)|Yj*=I<(0V8rVwVvlovMP4as33){c@S@Ts(M$O~Ia$~~Tr@``c867w|Eo+$e z`xqhx*A;WSV-v3Tcp0zty2DDR3JX>6QiK#T{9NNB>RPa$D3`I{bSwd=KFN&d$H%> z56^Lpq&^^~_vzWaCGm1(VE&Xc5ZhVcrmK^)qcFH_fSH0OtU%X=k7y2eC*%abrS$^=7xbCumWlDNuz(oS(G^pv$UoI48 zZQUue-p4L9MDU`;ed{eh_#L|;qY*CTKxZtN%jX^PK+4^?EB5JO?yWQAPgDL5#Vr|4ZC53flO?D^mrR%q8G!ld#8^cnA(^ zAi7UiJ?DEeMSUD)P+tm+NTbHUeb@Mwy~c*Y`lQI5!Z;)ACBePT-4~@#U*}@npkiJRAYE)ss!J8o8YhI`!LB9>Y!B_d5OFg}hf7^Z7lH1WkLed^TGDHBGs$0ULQGHpIG5WW2gau@lIn8eAq0rHH4(YFkRB%fM2gboW z4<-`mZ4c87bd29JZ0J(mV2w%LU(4Fl1k;zf7UnKcYKnvY68M|6p}y_X)~zpFp&^^T z&YV`DKCq<6nUQfDJ&^5M_~kcB+4``9*;&O=C>#l;+sB`z9Tzp&}b^XyB%&L=}hVj9H!;%Qp!4*RcuY-8Ecz;W~yI znKeYX59()x0B&{(g39ZUDKzqirOdE2I5p1!#*tp7`#zJ5Wwv5$LBG*~f`tmzq%3M0 zZ;rY}vHE6VjIHlb!_%*m?t_n5)$WP0f>&|EbAN*B`%M)_YAs8rkLouNAEUkB^KKfsaR(Ohq2y4$ai9?Q zt5$X+-*}0nb@5J}*WzGViU5bG(i`#{m#$wNO0qW^Y>B$n>(+3?>5MWb`FN7Sb$Do) z^%`o)-1n|{*aAKAiD`y4Ox642O&zjB$Egbz#udJV~=G3cMNuiq? zlJRz%H^d)xP6%_5r0t%F8ktu00J?4;kW{E!I=q@!lVeKnYQ)+s4a-Dnf8`-@+!V$$=X*^6Cf1_Km!^~)$^Bv6K>FMSJn z@SY&=AIQrSV4Ea~YtPOQbSPy$yoR;K;v5HXCdxK?3lCX>&AYj@xkqPH_I;Sys$cVl z@SlC*dI*h}yz1pDq9^Tbu$HQn?7A{j8$QML_sZ!}^>F*H9S5m%SZiym*1xf-)4f%9Wkb!Ppf40Q@;k+hxs_Z%vZh& zRJ|m%*7gS8HcQmOS6R3) zpjyL#di+DNhb`3tGa`smHt!6k^s?V8H#sYu`v7fl;-cJB_rQ)nZ@~QCN`;dbF59@i z+ONxxx^m>|dw3Ip*@cMQ5A;eFh_<6HBg1ix5@)$sHlJqhUHI<&l9g1bT*ndpb!VGu z8q_pEpKd_P3R120D}jCHoW$wvkdbpYUpG0&6Z+Kr#+5CVu#LOR`EpXF ze7mYmu`h=*vOul6)^j`!Wn7{A<+O5sx3EGuwQV`4$so<%-m8;Eb2~=;Z1R6jYtE8) zG9reaFzb~5P!$=5MZe5d7Ns}$tD~ez_M?vH4h*-&4p(MrYZvg;_NDUk(geIn9bF@MTP3GA zTQ=E!{&_Go<zHrZH5WKV#2u)k*<4?byefS2li3+(L~=@elWmd)8=xfdwa+H-f%R) zbh-m8vq&?YT59`~w7n|?DKt*+lHRCs9(@~sy>-7%-S_De6%LGblGM&`AfP9;wJW{D zW$X^-WZ#}%k*5G+LUtHHyoiEdq2l(-xu(>svXY-g`jy5)PHAJ zuj`7aw8hkKJ|M?zkKNDJrNwP=I+800c~Nxr8gqrz`r#$AT!bo)MNo${6(OY}?0A(e8tr*)9-iZw0`a$`1*Qd`oh+*6UE4m@0lDo`Z9i`<`BBf!R z=ksId{Q+r@^(+aai5a)ZoKddJQK-7xEr^TxG~7A54>M6{mcTVUE3AAscF|Hp{QlRV zo7MBw?a?_<*SGL+Lx@lbKQ^0cG>pKy85y6kkWat(>swcljNYus|Ef4 z^uK>>*R1-l-Yz^a*Wbm)IiEJ+FTL>{c&75@OS)m2?j&iD*RQ35qc7)dKG~I7uQzv) znbqumjP0xcxlaaWdn!B_;0_d`=({AbcIxt83F$DgkUo}TykVnrd`{AJv5X1`<) zcs}GlekGF&3&>xKcNWNFm#c0}=NP8j^@VMRxMy`ROBVD3@!r@xFJm;9r!*W4S9y3OvmahK2m@IMBY z?Ww=((PTzOm5Sn6ahAED*b^PBF~o-u1wW<;&;@3v@-kiFh!~v*pI4`L8Ty(*0 zu=}-tnB%s)n$P+CT5)a3&iX-i|MHIWL%)rAe}vc=AQZ(PKhjQ6As(Bu#eF+@X`I^d zxg__rkYj$p4xmf=Ox=Euuo}KkWw}PWJ4tvxJ%;A|a*EyFE^*3pC1^dD&ds*cdW$+L#z!B%1Pokl zq*<<=3td-RygvY!EZ4BAt<+}GN7A%x`vZCPtq(x)yaor>?C&Ezz^K7%=L z;`_ubhtWEps{m(2={dF6z${)a-=;N)obj#mJo#nUo1y$dO_Tmk4^RQ#%l*1i+j6>V zK|NF*`?!fJ>OU@3Qa&_47rr7nM|z^12M-Ueys|!(Pl*!CswFL>1*?3&+*RG8`vJlQ$vb9Eu{*wo)d3A8A%IXLE!FAMVfuD)aXa-d z_09ddv0I4Oc2X(Pc_?f;lG*xKj+MUWSMntgPG^rzx{popUt+M zQdI=yzx<{>!2IfTy@+1P2~Le)M@+=@=)XQKkw0Ryr&}J|x^s$}b zf)4zMK6)5V@lz|}mZwYMSj5HLDs}CY^l0)8Xu9j0CnEP>4o{(m&h|*oTf_D7bxxC% zZ{gyNZU5phgT8Eg4{`%ke9A=X6pO4^g`6d!W!zV&$=d};X;Oo&JWUiUOM({A5_J});&SP?R&iKdV1Ly`u5>UDg&_WwO?gYi<@@`t9H#Yqv{@+ zy?Mb|SMa*((K)A9e|NL|rIrZxY^c6Sb49$u@6U_>qYI?`qYEgdj55}QlIdoT7n@#4 z3puiy(qt=s$*a_U5qw|}?w#vN&Fi$?j1Hem=l&~x|W1r0Hsk;GFit_I`e3sz{k zWK}V#*Kfvt=6<7`jvuZXOvtcn+?Vz?`9valbqp6UYEGLL^Lo|S8b4nIgr2rJMZs$mNi2NqEJ;Qy~q>!=M!Ds#1 zkN%LTtosw*ApC#Jvxhdlzq5;`Srwv~v}&$q=dBz0b-%NQcVr|U$y;`RB)x2T$9J$a zbVP5VqCax;{Yuu%vN+dO1LL8>D)y_*mvVEV&d;8lTgujPsq2963f?U6Tg?SrL<_#z zycMNCv44hM?m8QXqst912?_NPW5c%pFJuz`g{2P3j8a!v7sET-cj}UW49hH=~KEwi>-$rvSnN-s4uow z*^YiYv%6uAWRF09GEepndHBq!h|yz=+NeizzZ5>`8Y~bZhPg+XxilL(_|<1JfqSk9 zL~-M)RJxWcC)3}A`&j^Eff!uj?6LD=?~(P;i}e5PT7dSc2*{uPpGAwRCS*=C*tu>B zhv*BvI{f9z@Hz`}v83@;`nShly}bT+3v+j!F7a z8-umnO`0N)81U#}&i$HLbl{vy&6Y9Ih$HXHQ^KFd zbc_$*{}{c9V`khhC>)A9a?*uIT(nUef6T#mLdf<#sz&?HoMV1}j=DM??(a{f)NKZf zWbfDQ*DmGrfi;WA{HmRg8OQT>R?FV>({bG>9Om`KF$UXIzUd#|`7+j`(@dlZH?FUJ z--~J7Qt0>*_R~%D=Htr+%E*j#w>|0pysm_#E~&S956$+|{(lk|AQC4si|eXjS*%-> zyJTcaR~5IzL&{APjsCP;dp~H}ek>Nzp2j<7BRssTNNc@vQEEeef1371v<)w=A^wP! z%3xTIAJeBitUFJ3U_J3ZF>vf~hs~%&QM!L%c=D;1TUCWG-Yxen)Ho^b2NTjtl}v2w(M3dKui=+A;xV1K=}U_);lVBt}}PE)Ez0w2?p}4`t916^w-JxrRYf- zvuMYJ$g%sA1}kkmwgrCg=yuTb^&KRzP_ip6Bf=F^Yz>lRUaBvXQds|`h0z_W5JBd4 zIu#y|d91B!`JHkcP)kLGnl^v*YS$~2t(M8L63pF|=1gW1{v~2~4D~|^pOW_bB$z$3dX z#;s;Ot{Yja_V@Yt2e!`bKVYVazEF_j{Y9~ldoku425^)0+Z_?3E(h_$pIO zjg&mGcokS9bVC>$iF(sc`_4**)7L~VdZYLi^l16>(e0_DI{wocgIS zq}p2Xz{slFenecz~@fZu8C4<_Zm-$rvbLnbf~x5eWe`gWF?}Z9AhWX11Y|ADp&BdqP&*- zhc=d{khkFQ+%zGsQ6U33DG!3HvAt2vb+yL2&Q`;@n(es9KVk}fR5FV=oS1i2 zx2Qc^Sn7j%DgT27@h3~5{=mZxRTT~g``5-+J6Dyfd23mcf1k@=l!8xc$6Dz(Zq?^( z=(V2bqwB!3!QX3gs^U1E0#@UVMIGHt?rBZyVBF@%QmH%hLn- zgM1wnOlaRajoL`>LR%KSPDL0^5#?d_DMjstvA7*JOL*mq`0YO}aZ_)&N-v_{%ly5^ z4VFIKJ)(Po&M7P+ceNRrdfuUz{#q{DvFJw;ciTdu|XfDdnc*4 zJ4xP8j=b;YTu;}~;W}A))4FHvM^ez=xuVnGp1ba0AoZRE&HIHsmPp;N+g-|!J;m54 zdM@Vz3++|xzvJ{G60p$JtZc^L?W}Mv&iMg3|MvMjXh<61SYI8_;rnKZTb&`3HvIBQ zA%_ap5Y)T?raVB=>>n`pdhLQE;=-8g!`2VGor)g-N#QHhVpl5saRblj$8$!}Ia;-K z>5;30-;TALy3X)R^({fbuXHDEtz+Yd$P+8dI{hdAb1hAXOs@1I_4Il%dz|Mg%a+}J z8NmH(kA`d1>?7!p*^S3t`v4)~aAR*PC{FCPXZ#Y!Ipg`>cVKbJn`D2mTsW&Fe&^-O zNro`Kw$KqayA{xY4sfM90Z=n|f%N`}-D36|S<;VpYo8ZS8})+z?J~0aMl>Xl+-5-A zIW*WSS7yHQC2oXey;u`J_WI5F+KmBzeSke_DR1MURbO^}Y1CQeUn`S{936}y+3r>E9JI~n}tQ~$k8uERImZ1XL ze3y0{-p4;rOy7C1;}lsMrD5imoRbBqow(AVLdveJEjT_m1bEv_)sb>OTh^2Y6s1zt2S1&c`YP;ao93L(PrMfXk?OblyT2|%j#Dh|o`mJpm$`zO zB+vg_7Z}ckTp@>PuKvNtbvdb6(al!maPYlpn{PQABV0Ub@5LKWZBwrS63u|81%AbV zdPxh>lAWHTXuM~?g{ho7S@cRb{QQq@I$KqCx%a7JkK5R{n#!A+%hmOZaS-??GoxJZ zd#JM6IM9XuVc3{|Y0vPhc+khPVi~T>k2wkG;;;YSdD=lax%X&byTOEX~6r7Zk<2MNy`IY?%V?IkdFWy+xftZt<__^;@L1k7y z`~1woL*$$4ZT-A$%b;@mqkyG!vb-4`=_pdmY5IBXfWKZYYu9nu4>p}K9s-iH#v-Y- z+qzHP4G=iH`rPO6oDsHK?yA1N@SZYmYFkzRAPTD4%IRhEt7x(WpycEjx{l@?gqx9w z{n~lJ>vs|LCwo@uK6|$#?|2=|BKt|ZEB(MoFUg417oXb}>#?NpgiXvwHA-e%b*#cl zCrQ%j-810=!)kQGrzrOJT#dx%IDiTuDSLV_uk5Fjr={t&QR~+H>~WVOSoQ_`{)kyr zrpRofMVeZa9LaJkQLKWRfSkf+Ma9>yzNI@ca-R*(r1Q*V^Q1`u*#S?tCnz9y2-a;%*NjL*M8yCG|{j)+yWZ5Z;|eUj)J85ogOMcai+8}n*%!9 z*U^>Jopau`kREc6UyvSfwNr{1LjP+u%!i}^wOL05OpTbea=6_k?w~X1JQvaK>%Z`y zb`;#Y_60;d)S|&X@a+;EadgAfNNpF>%21(oIJ_vUD!2u5(jQ+%jp*?q5to#&)IcoD zceK^|tGXDU!E779t_iv%X*O1+whhUAO(EINSL2 z%Bi%RSm^n^Fr^{yg)K~Kny^@-Me_&BE2`>Iuccb+&VHSk8n^;q>Kbh$Aoscm$h~I2 z_yTgTv8vo4{ISuK*iQ1ZwYRqs2GL>&vAhYILlRwbpN=*(9+=+!ay~oR&oiEIFvc80 zIaNWOlDF4|t^WlmQZZ-e?A413dd|_l1F?_;0}EQ*Gf*E)S~aGM z`XaoCe$pIxfqCweL6;snBmC4V3qyc;*~qhocJo38X1!Nz8V{pYSbdh{$uS-tQ)t`{ z2Zb`67zaV+t;nZOF%4wN;s3ae4s~RSv{4SsN}BmskC5kp3Oj?X4G2?rUl!$CD2ee-+B{e1v|_MQ~+J5+b&qoYErJ)|McBJ+a4auPWZKqlg*_{^1X z8r%P@)$d-X&oE%Wx;g1OmEwEUL#h1YG`$PP8>JkXsycF zbDnMbl|(*+8vBcs=XLWxKQ%mk95^vMFsC$sPY3FjVWlmb>GrvUC-1V#4Lk=vk-)O; zFr!w{s+P-@;$e<0y?IA9`-%rKiVtj`-Fy8t!>#S5bSZ3HzowtJ3MYv>*?Y_##3j2F z<=r0L={8C+d0SD1H%!XdSuo0%`#P^+g|f zi7fN=N0ler)k*#*2e~5O(|RAc4orK%`>Vhr#i<1!emE8ybB|+BT%kg`?UW^|C8->i zagi=i&cap7oe3_=k_NY}cYS@5z@;$;v9=v*WdE?+&|l2 zcKV-E%Ek)j0Y(WfHHnNAcXFas3Oa53-byQevAFw55aQ<$|o*UNFHD_ zp^O*&ZAK|%YatTgCBZ&zIZOuMZgi+kojRvWPBjhX+AThCtt@LZnov}S0ymOlbLkI1 zt8-@1p;11ykbJ?wHn&lxUn$au20}FSCzL?2;qD&%I5jBX`fjL(<%<>>|E|3)X%~I} zN?BW0u`W7eVmy#9n`Kgll`;jH+4?D$H_8$B3|a+IA!Tum#%)w6x{-KzhQ|Yex!}5i z^=RNu9OTwMdgi#taJY9|;rDB_vdDHXH7h}7dq2e{fW9x)>5I&Ebo_KPLH=Zdi8zn= z>L4#YR#8rk>F1h`rtG=yLqIw@XF&aBh%u2^6p%=uXBnD!VR%Iu1l`IM(`vB|qz7M8 zfBJ=g&^O?Hnh|BjB6*s^0T8IT^gWLN{onV=!jD`-`?pq9Q8VJf8qWU*Ee?!03jyr6tBJVuE)sJ(bGVT=3L!wps?1mEB zOMMSJjHgh@er?w6?aC&JWkO_@CR-aNZvJ3CXiy+86n1O6^J-6WfL_S<^{= z2!3D}HuytN9OqyJB;d$-?c@n`f%;?mx4gPUI>{LfjOmr4kwuty;l1c5g=*7o(>`q5 zWcVk*1-#Q`#WsEkdciv_|i6@rQ%X99|b zV~GxgI;X1w=PcMOxT^3lV7?cf!2xRfk?6x5j1u< zyB~__PdlO0AC0SXE_8&O2!3UQXE4tu=Vk zLMrs+ZE4p{af3i=2|AHI%JU=TIjx_b`MQG$2{oP9F2R^kf=z9M4cHN6tNQ#L}y>)@X6t~att-Q4VJF=9`*u#Etx~Zs0zK08YlnL zZTpzp%~lsA@C2k&3Sty;!qkwSZz!nR*hA2||u^aP!Mbn?}w zNO~CM#R4QMg0e9NSqu3%xBs{5-)(0NK+EE-X!@BkS>N_IYN?s|RiF(covdSTrZRui zvrnsOCcBu>e0nOPnz75bz1=(X%=+gSPZ6`vUZ?|>Y7oA$3q_je)0N^{ry1`TQQgEW z5Kro=w7%=M6y9ni3!l1)^gBRWttT7B8NIAs@g7`m?K>xL&`7zj33XUyuK5Rri{6F3 z;@15XC|^XCVy+sLVjF#*hE5C8C8PDItKMIRh6Wp&&1)&qid7VDP|Kw=4z?e}I=R*vg;PyrXIT6k(X)Vga z-BGk5hPJdgaywc^L)ns^a4POO4S0*d8r7(R67#ko?Dw)wfDAU%Mt;Bm!x!ZspNes4 z+|4T*Hbmz@abK&eAW|@X9!wfl2NN%*g1U@)_yJI6_s9cf09D&O=c1G++(fU7RT7A9 zfG=w7SgM^VToiXWP31gKa)R2eALww2GHSghh8J&6erw+gvA99 z_+{MwKb2jOiiQJMYd-HF!@FF1zZ@+4yPtB2%YSbGPDqmd<)I}zdK`0gF~^NfxCbuD z`S<(VjvfV1ja~5#7%;L#B%V%OFW?ZRO4y^*szlec7YV%@f0I-xkQ=M0RUKGq_Nf}X z|Im7Tg@ZN4;9f_2@~ZVhmZ>1`(KMufchD-EhYgZ&7Wr`h_i#L0t*ndAYZ_N+;hZ*L zvhY%9{mBns7Z?3`C^&P2QVPYW-4h!vHiFJi)Ts^n4ul(2Y&dwtE$&x`R{ z^Xoqz%=Q(D%b{7R+ObTx8w36v2H&NGzGZKX=f^JXQ!&CQ?E83N5-kN`d6Df(Px7O# z3Zn!mK8Qw`iG)1m0XJP_BqONcu21q@)X2JS-{p6*Vmv$+NJ??Cr6t`=cWP$B#;#+p zhGG%33qb#7w;Da()De{3qvda6vM&XP>GF$IQD<{bD!-v3&G3MI%s_UuH1umI6*fCs zBHtX%v+e#Qpt{GCN#aaD%q*Dh+akW+CZJ41zWYO1m9lU~?Jz1yJvOm$(U}5H_nClT#Y+xVcx;_8`!=eXvpG{4k;t#S?i)k&{XV zjglcMxZxZSk|LFj=yHp0;kphciVrLvDCRNCOZPB}aXlQoD#k`>HsoKGRle{59hRaU z+&Rwx-6PS=RQ|5>y^@BNpa+g8YGZ^QPJ=RXMzSoT8+`s4w zG;$q-bb@n($9uK59pML-4>4YFLYksmml_(|%DNxWg0Q6}!Z?R1Wdm#)?E=?lCzw(c ztEWY~zKu_dngcpjn2G)Qa1vax&MFc_*O6qBo#Y7JB~1=8-cvT1Qk%TtlDS?XNP0r$ z_9CmqjK$MF0OD0!+YmyL8CG6knaln{@<8GbiX&Q(j8TUO&V$oSHY`2n)Vdd0lj4V) z;h#U~ixRwy4T8v2xd@%fj{`u9bWP+$!1nfYP&~qzG<9dvXuIF`*}W|apr=X$ zeoW3e9syu0srh+(a+_$AiFPL6l&{h_u+PuEDW-~9`736@)^n{8G^}11e3v^hoK^%p zxfCU%iUD>cOAq);xQrcbk*p67u+cG2Y1kb!!?zZuQ_gn<02s1({;RghfKWgXJdK4m z^3c-&AY(Ja?SX>I9vt2JXb;^Z8xA>^)i@golu9YCN0v%r_>g57FZX=kZXi0`_ax3| zKcFN*EOGCnxb^Q8f_VR@9gg411f7>$G4y*?B!+E@y+qY&SDE_0WmnlR-tfTDi{tM- zrFBMvGO8$}H!8)miL2Y6b5lo>#U^wXV?$zu{4CgtR^s;J7?t%#wK_ZY9A1Uy&J8HM ztBJi#-lX>K}v|*^=e$C00RT$c# zWNA9~K2ZUhE0k3gm7pNQ<3@&@kUk*Lvu1(2)Pb`UfHyoc!`92FNgnVXFuh!MXdQnP zhIeqJN#&w|wz*&x^#>$|AP6VuI~WqU*xH#0!f+U=W<9A$!_avj&EOGeX$D`4q${bm zk-t)sYNMV!!Nj0rGrM3)+d{p)?GPX{8YlLNlhV1BDZG4wDF$?ZH=FAy1B7lsPcenx zkCw)H!0qb{n2V^_Y9It!HZzd6b1z3AXxrhVO!TP~JcG-O2~KmPsw_AjIpvuV=sU%v zwALHzH4-Ii6Tpe>*pOt@nQT6!WN3lxM#qmUfbTw}qyqM#+VqG5iM(^fq~N?DVnz1^ zi3K>lH6Y{U_JC(p0R{NAZ+r8Z>-!o&CE-~;T@HYvG?&>IwA2C|LM5FRON?0iTqil) zCbs%{omfmye46NqRTCt*0w->QUa7Ntt~#J{li+ySxL_(?g5s#A&%B3o&Ns%VuJ?-3 zOl91w-`JaPnDuLoqA~*q6@5#4dgY*YOCd>0T(#BQFH5|M@8qYXj%5A}?uWXe#dfVd zQj$6OZ7MeU4Ne}Hx%%X$!t3HFd)A*BPYX6P&(BTd&qVA{z>;q+1+Gc$0yZX#-9s4EAmD(=-=#-hU@F>EgfDtv^exQ z=sP^;VIotB|B$8C6n&HNb`~8sS0Iuyd_Gb`?&@gZ-s?2!Nsa{(pL zmUUV3^XzB7C=BW*ZnlQ6dnK5@LV)W8B`_Hs;fu|J0Kmtx32i&!>Kk$b@|0VkVzwM8 zl?Y)E&Jm9|8PzgeFB7Yj@p2ys2F<8LiNK%U1ok9K5Y8RzqzvArlX#948_~wAajr!x zvPCl3aC^0(3Bt7~6+aYr`&+iQScIgVa~||cdfR+WzL3WhQ{Ut`MT~%lcOE+cu;3Nh zpj{}HOgi+Sn?F4^5YEEme z=7#GPm*Uo*9|F)d0PBtRj`f`O;Z6_5GjKWI0v}PyO?5BVEUnryD^5A>RF`2W4u=FPcZhvX$LKrHT~Lg9|Q8dFZrK& zOC$=Fym;s(hUH>{v$Sxb7~k)ABkw(v&3q>@tdvHD+hQO?rjqI|=cR^lbxA#wXlqux z`5>1@5Mf_K9w{l6L8~S1Nh2{tphw|d^oT4LsbW~_6v19eRZy1%nxQ8upVK9z&eLNc z@3N!>0A!|!^ctOG>Ch0e?T~|u44~YpH?+k02{Lp;5xov@cHw5)l z#xwk`Gfw~6B2Y7rFgZ3oG?pK}WC8+`d@rS>T+BK`-Y69!0piWQPJYK<#zqKkqT&w; zvCo8h__j+pURztxKQG7tAWv)`BPxsvh4dH#r!F<{LVrEA9j$Y`3{epP&1`rkoq$DV zLhFoY54qzt$!hW0l-3z2#~fNJW7e^aXzU-R!j|AFYLY4KWb+gggN0of#)~DRx+?e` zxe4tU4xLeBYmmXUr(#zujW$WKG9ZWt0B0G{+&}Ef4}xq@i?&Ohq*;ZZPrOWKLTE@5 zEh*7a89(Z)*F>N72gijBDg5NYPgj}o1zK5UEe(cb(CYeTafHzHGf95?Kgw^Pd=+($l&%9UTb|d(kuYAQ_GpDQ9MyRZ%pGqInc>Zu&7$Y?P zEoma&w(&dovG)YjJ=Re(y%rJC^<+ue>DCvtt(dl__)b?|d}s}%J1)5qQKkM`%tLQS zuCVY+A!)bSYP7J5`FHScx&&IHKq8NyQ8z`GeE@^K+Ep1P0|562vD(sOGc4+yhuGgd zA60ulI*!fL@Wt+7qh-coz~stMu3(+d42j#V{AAfOed8ushNFXMsT1A`tsLEfWrzPEr`4Nwjk z4}9s8dj449!UAq9aSH||2p>5B+Q?OrzWtbe!j8+a0^otKh1Nobt)YT)SRit+p7M6~0WpPZ`LcAa9yRcdi z?f_kaI4 zCNe?P{}KDhd5y}&BJcZsFfM<0vULZ-Mnx=(bkIBFy5y#Fj$ zdc{lZ&OfrgAcXg{j;)o$n=Jr0G}XRC{O6qEn0ok0J1*EHJt`3-U48tQL`2pb`F=zJ zo{MUP#^tbfm(`E|cR>;0#3OZxi>1Y16NL8)k(s_xypIJj3dHzlss9dtFgA0UR_VH$ zMBV3EB}$ytjsW|+Og)M&(G~e<72*r}hZ$T$1YRWQ62oP357cvZ&rC;&`GyX@Pb$t& zf$jfc;H~KGB~ZxX?l2Qdsw@5hG3YZ*U#d)(o{v1~8u`1ZEK&{~ z!hBuOoBQ`jgQJ;~vMJ-4lN+Odn2inYf*$;~9y-qq{d6dY>;d%ZEeiu(2{_0 zVic*A-cviP-1N7(_2yy;^>zt0)jgnUHlxLcHT=qy_Mh?cASz0lQ=af^10$9cA@nYK zhKJgPTV&)3jPoM==_2AQhz+RUf!djVB+!w35+yjdupoaY8Wi2k6ob@!ON)!9!hHqd zDN1eM(yrowIQ+|yJ*i^8DW*;>Nj5wlWF7?J%-gUUb6RL2E6{9gp?fOhAuK^tOemmh zo*Fkxwe5ghPz#@FxtZRd4YNGIo;oFGX+u>$+rS zKc?)50vCMTr1M_xh~LnC8_4HHogCh{(D2y;FA!H=@=}&WW5rbffY$sRA(oaf2fHe-NFVvAOB}|D;AZ3k|Yj|i|u364@czaXIv)YvijwyR*S{N}?&G{X|I2aERi9&Lh>ZOv!GfiinU;rGC} z0uWK)R9Av=x>68;`Sdyp3beITOhke4zAgZ4a=+Km>_5T8d-DHi`tCrg|L=dBA|pk@ zbzSNvU8~ZycSupGR2QWP+1s^eHrI%Ty&Gg+AzI?1xW+pxGOk=(*DX=>JGamG_vgF! z4S79Z=XuU!ox1NcJh*%lfKs&mC^~0a)E5SlNylifFb6_w*rf-?B9vmKX`X4xEA*t5 zT%$rY_%t~S_|RM2sIFo7raFF<0=~^1L_04@pO*$h)MLO&gQ^WedOL-_n9&cV$>9@m zN!(gJRqTe&sag(@hxMtOwa#%P@Gy=tlc+>5NNO-(s4+Mgc~+G+QQ7lLG$bT?dHo_` ze|Ty47)(Bl_%sNu&;`G9>AZC@cSI9OmDCxbMb{%`PqaMFsZ>}&;%HY8Y`WM<9O#-~ zIXbrdaze?v{@dg()?_);nrwfg2PARR2EV%xg-q1AxT5%Dt|D)=4XS-7T_`>mrSs-( znS2=Ugs~7l;t0|#>U2}!JEXQJ0o}hUwVwTqi`7M6`@vo)Fh|Y2$KbH>?*V`i9*?>) zI)duLEb~Sv9>I+=V-klUOXo~Ar>foxRnW+<=keC?c>)G2Oqtae7O!U4w}5ttX2;!Q zLJXt0i_Z(HQJ_4{0+fFonok&mW96d0riY~7>=aZqKx`w;0Q9Kb?}Byvbdet`VWdTS znGBbblunN$+*2_|jm?;T64!ZamdyM?GI92fm9yhxsfCO6XNT;BS(3w?QS zDeJ~P?p0y*+WA=w7rc1M7y`O*u>-UH+o`v{P(BQEef<57Bumo(hZUj2XI~ZjP<-G4 zXr}+{U7fAay$>qL&)J*z49!K%LP#A;?UwW^)zZqu z()zcK=AFO?fHeBI5XvKW)_aC&X6Y!c&eLv{O)&df#rlT=c+X0cbvclExl5Bv(-||d zfp}71lO>m)sp*mT1?|;B%sN`BXz$ax=qBuVd~qNiOQFi8t@s$8>Jh2wt-Av#>r|E0 ziyooDw?cUO0VPRX>{6`4O&r|+EVKttdjSsUVS!>8#jGoZr|-e1VXop9T?(GOvIMLF zSH*FFuo@>_Eb(wSLS~S@>*bXRqP1V8#1^yjltdVOmGBULIkSGrs9~1rvXkGK##0UR zEYUC2h9cH=<^M9V;gBgi)Wx@)631u&0m1j$W;Z(N+u=%|@#&~ke*ouzPn!UtSgS7K z>h0_^La2w`dWQ6(By{O;>>{8cgF0@uyN4ruEoGexVz_G9iHA~t%tcTuqShHABR0+@5*c+r=32($6#;<_cf}K73qN=$>1rV zYH2ZgmFLL&QZI?{sxLdFU_9~qQwz>-S2m~*1&vjH!TLFWT>hyoJ>OTDa#`FOnN0}_ zojQq)7Q`lSZ8)omI_HDF&O4%$n=-1`FR3<+N-@&)lmzIL6G4?SOT9}0VqC|MuJ4wX z&nZ;*qBgqJ*2(@w%nw`l%89hBdFKO)EEo{vm%wWiv$3^7jBTF z?i?;hQPgaK?w>Gce3F=sL6$bnF>OAwNga#uIDB#0MRM$7jMFL%7^NPM;g8xI3jJjl^2G zxVji>oi}e3k3_WoN4lE(v{0M-EdS%`$urB7_?eIF|Mk8p9pAzuq)#?$l0Sbld3%_3~g1p!#+JB+ektG|VNe@aIWaEH#*>qP&J^po%2yNqT@A>|8Hs zK!oM1c{0=dN#W`+EQTYfyiZCk$u+wdzBMf(N;PK&#&kCnn&vhXl%6cE+GvY9>mat` zE=d^@k-$_nMZ$xd5E}pUzmCKGz>>Voi$K)VA*0jS$gA}+sDg<*k%#7IZ;%97C}Vr0 z0x}OJ7n@_mja$)k`CFA+gl^5$uTOc~@>E|vsxZE%V|OlfQ!ZS6^k>50BUAocqp4e> z6{YeyCPP)4_%{Wb!S5hzFwts#tU^O#kDB6doq%scE_9~OT>L%!1X^@JvG%Cq{hXk^ z6st|ARu}8PjxBa){VYaCMR%uS3$X8*7}&9?44b)t`Rd^Gs{xLf1Dqr2=yNUXr0%j! zlwik*+Aq)P)R=T0MM8RF`b7MtnJ-f5^Bw&BsW-jL_P_wt`bvFkFP_G$`9o$#-3w=g z2=>R3;<7M7^sBTJ8spH{!BbKH{$6OfSF4J!LJvm}_Ko_Zb}@&m7YN&-&?saLX6}%C z#Y@C6%f(rjgk#=@^6-2J>NNH55Rl|Y!H?;@r6BIjaDn zA5XvXLT&Dh8Z(q!)w=J+2XCQq3d-BSy%#(IRq8X3gyfoN3NtWyl`YOXZ8)O#6<6-A zFo_238J6)rvzg~O9AUKsQ*+=rd`#fbTtQU3$nqZz^tfaC*t-;N022t9SL)7^W1o`} zRB`TdI~zRs8ke%K$LarKm?z1h|J(hlmm9T=C%rA)Mn7 z&E(Ua?Ze6-r`voW{$PVhNmg4~d!a2Va5Ya9gL%FJ`n*z+OdPZrWBjL8?$c>vRlrP_>3im8`3L`byqk)V$mP}iHJL#?Fm>}i)&@e= zNh_{`sJt>+edS0z8JBNS# zBGk`E7WQmW?7Gj!CRmaW2oh)H z*crbr6?@x04u2d|eX5ItVczz(M)T&k$J{k;R~&gnhgILubF8_M}BTlK|FIzAq!E=s=`+Tu{Z?ep1vzjai8Q+NtzTIr4a6Nn7cuXp^yVhXj=~q|fh#g%WatbVT zc-Qzr1gzJc9Tz$rKX|mq?YDUo{=-x$^@3Qaz)2Mf>7B@Fp}1abSDkqvE$$L3GK9d{ zD47=mN{Yb`_|wW;eG)#Y#t+53yz|9Gup1=GaZ^>7SCJ{|U9sQ#db-~#8iso7A-21O z>N(W=KQ6Y7D%E9LkU({)B zz!;kcrS96*3H@!Zx+I^KcSSjRtl!#JAT7$&he#0XBB$?ow*q9N>(>cu#Zu?swqHtg z%?QL1%3D$C5r#{Bw;dINFK!Fdj5jqBO#x8ORIOou(j&z9eDn(4;BP;; zhB9GC2Jr7KF0`=+JD;b?aOu@`F@?{W+%uB#*iz9%HZ`QIi3-dn@%%tKaww&_K zaf3VgvJaNms2uua;bT|Hx045jmu40*726Fp&M&^2&6ljobY0QdNvm7Za+NKO zL#IcX$r1$s#Odk$(x>T1|lws6f6y;kQ04Eb`C6bNm`3e`FRu&e8IF~L## z_-Le#&rJAXT}C_baEl&^Dd)zX^1dGe2O@NQSa;4;v$OlXP+itaU8=gRRE$&fIkFis zKi8Stmb(WBGieKk(1AbWw-o0J=N6x_X{6dzSVY$&!lT!jNz?~5z$jsL)h6k97y0WL zizsv&bk@-#9Ee3ctkYsNYuKAmdA>7D$0^XGfBnaEYK$B~s7snpWmF--XCTDuIHlTk zKdx$#m{m4DF3Ay+a~#A=#jJp$leL!1-^2PIHRVDr%1yKp*BbGIFR9ywd7d=e37gPB zM~TGo!J+5wHJwwY}$MNfMV7?UhSkiWKq3Orn>I z9XCxTCg>Y6|094OUYf&Iu9gb95677te*#o*!wq!A&FoGgYVOzfBzyZyrmP-kFC+ z*A6`R)YntxnOlRny$j#gwBWmWn)k8Ah1I(zOLF>b2OE2Fk8cUeKU?=v)Bczm+B)lY zVy|gv0;cb;8AfqWUhj)K@A&%p3%^q%e#C`r$LIIvY5leP8MEH~^Ly_xfrBr-LASM( z492FT;O*u-2U^?PS{`HPFjABV94^yIbS4 z6iq8pw^gSZ zOYokwqnq8(3^s;|W86_s1z5rP zjz-{A*yjMjzysEuFP>M;>_Ud#P?do6rVE&k=5udm1mdZz^F@hL!BPp*K<6zVN(mbE zYGq)0D~%ZG6iv|Gkr;!-v93Q@J%me@py7{mYF$;#!50qW$ku?TRI(B$fzdBCItm0oP;D%KTE0?qB%FE}Fk~ zX1zMIvbLCdGUdT*wTfk~IPSV-dV^rK zu&>z)rx|?1{;QTPt@3$))-egg+!!|Wu;1@fp5@+mjmb%gW~xh7?|l_&s+&uOuBrCc z;N8P}{OR1we3Zu5TmQZIcp_X|+ku}x)S@9C)b@MXbnH|~N9*|SuDbC=|5ev0aTZhC z?D-W+?YC^1<>r>^V}Vd`wlaW{IwGE=GI@FtW_D1p@4i?V<_P*LaIS;l z(w?Bj1!e?a_jV`XW;lktf15BrTfo{DsoVr87(Wv9_9Ys2O$abR5bJb=TZ zaXapXb@B6@!AJBEUuDpJSU7k3yg)8@hyD4eCX~23z%ufGNc;)_0(npCfq&ac& z_>UI#t*%uY&7$MJLy>6tl=YGqUxc(l@B&Y*XWb^>-mtuvi*~| z{DX^8&cfz>-PsWj^h$#@tj9|}_4&^}F6_*D6og>g=e|9Q-20VNohScq)1}LfZ)pBm zcnhE3aq`0w@0*u?<(G(Jg4_09_#1d^d(I$1DA=|$?a<|N*lkCDOPOyc++ z#duR1MQJ^|2@8+t-z-*jIt1)g(T0WkAxGttpH47;s`A*R*grjaa0ChGqJb-|JS+HBruTsPA zKh;T=rYm9()0RQ50zb0vSFYBqqV5pb_$&>xTnAZjuFYI z&6g!SFj2TZ)RYIJC<`R&WDN;>OW6g54{EPtM1-li^1lffZ@!@smazaJmi_TxB;eFC zQ%g#A4WlINo90M>7Oar;w8r0)q$B{oNZ|%XXjR0eX<^ZosZ zhq&sk|KpgyY%99YwsDK+%9+zXQN^qaded3Bow5Wm&h+qXNcL9Fsi(e5`4eg9D1n@7 zJ~{7cqOxV)p!Ft@($YUWv$8kkvH99%skwxwu(9H1l#a9$KyC8b*ST2R%z9LLF~x*c z{<&-g?RFBb(bEppptiYGks5*w9{Ve#rNVD56@77ec1n6?GC^UIWP;e1aDT)6!Jej( zs-G$5Nx^eUpmX7-rW2r<=Hl80l}$6KfbcYmghKxM^J}#SiEG7=b`C)msApk|+d=XG ztaAdeXy&(*ub9}1lZ&P17$|6Ak2Vom$fZ)4Ly1%G6q+ECA}1v~w3rGi(WQWLzZV+p z!wQnc@ii4js*|I7)73C|0q;RtpW(ryLbB5vDo6)Z87<;AIo)eE`;b{%hAN36NYG3*{rcecy(KsTt?03-6)D zPv~=sy`QKng1qpjGJe?Wo zJ9uB+`^^*wc8327%>y<(h0qNHyQtjn?wt)&Z=GpNf2BS;a4&`pJDX-0Ji?;%)^C&< zoO3|FPX>7`%*PN(+Nbu`%ttB@LMNc1ny&_QIo8ZJmC8Ndm-?x~L61hvwnqvlVCe0D z$|4wu)5E}aIVvYuC$U=t2uv=PuiGZu=aQk6 z_>A0_hf5yX(@TGBWNu2AzK{)VpP#+%bN`%5al`XbTV7O|kwCJnxuJ(=9QCrO)c!Z$ z(eL!Fv^2zHvSLM&)r-TGP?Jn$SyW-u6SUYny9i8$9oT`h1s`ODV?7mHF4I6YO25{~?8Ta-PR& z(fJh@=J0L+c87J3A7i8R2?Mq722c`^JPf*Y%cEN(dR($M@sO3QwrI~DJhjrP_g5BX zVZ~-ef@zA*(21>s{|`Z&wDiK|8;)xvs)J1SgzJ2Qh!9)^4RlEy0if$+ zl1J#}tv^yyJ?w8^bn(rt`-i2{amTh(x8DZW1|JGi7}&vD`+&VaE2W(^{7n_>+<;m= z>Na+qAh;^6mkWNIQY;x|hVA6PnQbj*CFa09_duCH738D+9{C>AlIS$_JFuC(uWrA_ z0e=Ze*FR;pLO?5Jri)W_OeGOi(>x|!Jy8-f1v3OwbzZiDdk^p{@EeW{L#DK{!FA2W zMRP#M0*ve8`A)kr_6htFsxXvYzjxE+b!T4e*GAYTYLefMv54E^LdAV5m{k4oiQFUa z7NHT`HvsOMd^7L0p;WJu9ANQNF#7G@6~$`G+J4E9t45Vjx(6({PX})edoU z4k85d9(+x-w>?j%(D3JU10cBRaUs@z0_GH*>=ukSs5a>7E5l4<3%LOQf=G3~r(V~M zF$lg2z)?21n&yZGS{giE@UH;O#ve%4*7EceZs)hb*<(V@06=--ytg!po)4^wZ2!{> zxF2w0v!XQXlw%y5nrOTCy0MAwHM147v}+SZz4$@BE-V-OYkh}qa`aYjsV8b=x8aPxZ+4JPVsS!^Q*8Bln9=gnOD~ z8be^8%17vU_Jv8mU(h_;w(t%~2f?fS#`rX1dkR}CWD(|HReFVz>{G>JsjTyiO!aHQEz;Ix~?R2Yi||%7PGe-aM3a# z{N=4nlL}g^T?H zm9;4$u|UF>2T$#(&jM{RFg-h?-9mMSh~o1M-EZy}JZR-%*!t5n|AnEPWMQ;|;Glum zd)p@iQ=YJr!3uu}PtcHIhcSevnK=n0qE}*QnSY*yb3`c&c}?a+tQd}W(h#XDARWV)bKCpfg|OD zRb+0_^(;((P;~0bq0EjOo}Wvz5q zuu6B+B5I2G}OyB7WTvy<{e8l+_T8;5dJp zEwu?gTD}rx{Kk-$o9=++Sm$DMv`kR>djEk@OC0Cp+r|=E%=n zg`S+8xme^B?K~ocJI_G?i1(N+OA=p?aI@46P?M8%H^4k0<$}G@YQk#>S|Lh7IU!*M7+#B58M3UcxB6PLQAP@xK#-DT}_enZ22ZBvnHqP3+=*aG9Mg-~;==lpWN4Gfe^pw4C1`)h|q)ef|&OW4=|4qAq>j5i)sH zY0d2=j9eX*+8;GSLsmY2D@R*y{+w@K`Req8x0;X96NC$c6{BZsy(q?Ym17bSHeeaBstOe+oiPO1E!$3#NO}y=Fys%Gt#pf1p zOYYMzalaX-Dxh&sn_0~1oVcCeT`|XSF5zO<7-9S)RJ{2)X>NS{J7Yw09c7cMh)jF> zqypO&@5xM$w4A(p+~vSDAHh%rF1x)*?MbpkS2i8Q0^CUfZC>md!)zY_wzE#i^? znC?qk?$1!GCLCiQk%zmN7&&q ziEV|CxEh$YU=B1K6dq=I2nTPXONgXr4`6@e`$z29z|Cdm6(_JB1)qU9P$#(7Q23nCjFo76~5(B7S`Gv5(Yz9WKFlwep13 zsAjAP*K;jvTv^C7BzJpnLZr5Ln=T_Y!JpVP;a7MUw>*d`_ ziQ;u})O%ew@R;MJgAds#JSb)Y zXF(w^CESy_#p91b4RTl5da=B-PO$gtXCdqkmQFH{N^YGuBMAC1fc} zk0jmVHcF)H$&cRTc1}>Qgf`qEg%=+3xp&aV4efs14TB;|J6mEK97p#UQ78G_03NV$ z;j@KD>Z@&Ul%8E@-H|RLQmD{eYOoM^K zA<~jufpHj^-&mSXkT>nl#1#E9{MoFv5+T75(+DY)8|HjtcZ&aQ{1cyBQhUs5C~tmr z>|o-9zg0D&6q6T0i$nYTY+$B)?Zz2PemxEo9N~vRxB$|(^Y!O6($SRO@1Of-_YEv^ z4cPBu*JDq2yd#^5CL2CGF|cJfqAPgzMi*JQrCNn}`bN8)H2qlm>$cIKjU4;ui!tj3 zjzwMuym<7r=Fy?~Yq-keCW_QN-@D!YiN~M!mMv61t{)rkx|x)H+@&vpNKMeB1{T#X z>(xCY(v83RxMs@9ij!LvmK38%_z;w?w5NZJ8-<=K~`|BI5%2pzgk_o)+}-z`}Y$q)H-uHAfsd^ zzUFd@>6vcV8`t#T`+qvfE0e65sw8$RS)WXbIeYQmaZ?ezXOxQWQTJ(?vRo26FU}gE z2p$k~wGN{3c>M-q>Y4oRwzPHT_D8yjdVW zr#pRIb>N;?{tHzcxuJo5cEJR23+P$kf=S+4bkh7$GQ*A{nAS2y)q!!{w{ZMTQI(oNbaaC+1gz^BUA6L zR5NcKEl3Gr-Xz6j_g`r$;+#W|UAzZnPXlYwRjfw9oRkB4Ga;m39N%w6%-2*3r$}-? zY`W6VcfPELI2@sP7Qq-XRTo;}Vvd!|u)!^S*MOjr(B3}zCiBy|?XreIX{mJJTpLUL z{cpy~tT2wKc1DUNC!fk+X-&@SdcJ#8MJyCh_a^jf8+$&aMZpkxu2$b)=R&{va|Fc` zt1WR1Uv3hd`&sYma=wvP)aS*;Q~O_4{25MoiYXRMGj7|uG?Y2JcarPb+$rf}H}UFW z1vl3An;7qM#p7(qen1I=QvzDy9b#vSup;+<& zabB~cbwDV%yv(b_1+CV1`gVj-pv`Tyv=fJWssgfyNK?^<7X>8IyLa|B|2d;S*opq$ z>NRVo@sZ}bih2C!qJ^)K3-6r+1hNZh9G}cWk=dQR=fO8wJayKkn{F4b4i(Q?r!mZ` zI+~)>6b2;d0edP`G^(ET*kVuPEj`E!Ni($azWL>@C0CkZ-Y`T!kiZF=OJ$M-zSYfH zM*>dl+kJO?M6bcsxgYQfpu0`p!7U1^2Zrv#yMk%+V1xDrCrW-K*zpv4pvvi2!!<5c z!+yK=KIYHM|1=VFNi!2L9{8pKAezsmIkbD*7Nj*>y{k4`EKrGKTx+5mFm`(114})G z7%7zDx2puaQMc434@H#M(cUQq2$hGAEUBvb2c{xKVn0E9%o-P2Y~|O%Wk9azl^+gw zc&hl|#8B*2sd$s9!LFoF`vj(?mex-lb2Dm>=)9G#g=*}sFr#Q*%!=>gy{smnXJmi- zdgFgLTxXq;Tm|EunqOV2EnFRWZQbH=ITBb$qy5n|>^SpA2e+}&>T z&Q5{RPJs>eEq$7IYugMlFFZ7_WRA`M{?C5&7W#Ijfo)5VX#i7%%N%a()>(4HA+5v7 z)vLjGuskQ9zgCI}Ayd59G=B;l6+YANtg+}TJ#gA@0$+R~3zqH9&Zw;|6u z$d=Q#)Mfh3^n;m@$@NvPkBXUGE|WOl%W9(>d*~v^D)P0ed2RsWJG^hNQUtZZO!G>7`aC~ zCWRW52#U+w#tZjcNz!IbUd7q}{4RSusU~)fuf7neaXU4Tm#`jPb@5&@DIW&CwnVxI3SAfCR*)4&D zxA0g4`?hCg#w-ncs**G6ezo@Bu-->D;g+p{@zbMSp|R`{gs>Fmrc~(^-@#Ouz7J!% zL<2WB?xiC*a{J;+hlpJx;CpH>)RwCgybW7J1Z{DhdK^DGi-MnhJ>FR)jwdlwWpIC{ zgli4mPPdiJ#hq6 zlPuhIn|WoEVASi&;;809$Nl06uB-3&R4N7ke!h9HZB&O(gGC=9h|oH z?)j`#v`1y1sH|=nSi98`oMbEEG(lZT%aEvtIt`?Qp}D^ zEm`x;h3LBO??3ylWnU@%FksqeeSd1HpSL5+;=~j`E9EWP1OBD>7JK_IjLCe zXMNUGL(L|xcq3Fy>%Q4{GKPq#h^@Nx5IP7O4LK!p4vi)x`w)>&I~pZZ9P%3&R~Z*S zFQqRxwi1jNFtLEYQi%c)73U=YD~Xkf(!D8;*($0H{jrZY`JWXj^35y}dFBZ27xkF$ zAygdZ&oL$|S?9;yk>v9nj=FxRrz}kcQnadQx*p=fmCED6$lfvCzH6v?P1OWU-Dwek z6>bK?7-R3sU0B;M{_Y-ty~_N`VIfdk6LIn>N-M0+ZyN(};1G4tXb!_v$rIOR05-xj z4fKFbVdR}*W@DM{*q`f))>Z633(lt6QNABM&OjWXf%CRMrW3_Xs}eYF3KHT?rBXsn zGSo@GC9{xm4W944E^q70;0q;dGBcu?KDA&*!Ee0!(D^OicRlc5se3CKV$8Z7j!p~a zx@quK<9p!ozn6>m6rNF0I`LzSb((J^(!J)%RVgK{^Ov@a<}?@cBpWix2DaDvB=pq{ zLVoKrJ2-KkTjW5!oLpNls(amwzf=<(+{ztb^oB#t$3^lbs*%3@B97)b#t4HYye+gcZjdLJARfGr@ShwQdDr7Y;BGBFvO(xhhEP|(v6(UEuQ zij=spe0baEWR*?>3~t1seA=%toMkS4g~?Ob`$E1%S0A(@H296zYx^x|o4yL6=s8p| zyS&2C39K~6Ujbt#&0vK|U*KUj8vJkCTFyG#psQT2js)M%euk8U;*rEqSd%vR1gfH) zyMO#3z5x_)MwcSjz^>$lbNKQvkpT_bJLLcD!)r`zpucZLHyyO6FPH7Gg6YC-?2Awc zd@%oprW~Lg(tQ1^9U@BXTXP}$ew5jbs&;l?pc#??Kx6@MN<^^2xepLpeAAVjkY2JR zBX2npg2lB%C*$k|nNOfNRBVH5QV7bV+Xl+@nGa7cw1=I!B%M6|nz`@pZGAl!fivWN z%rCb76qJUk=F1ND{Jy5)0NbSJ5TN-0K z0MxBOAqleCR|@ZY-i=e8CL|=LLiWyR95p^0$yaEiVyfz-yI0IZ}1_t)P~7Sq@hc z$ap(8f014diT6(%*F&TS=_;^tSr~okL!iM~BmP&4n-c^plEA%fK-lg!xRv8B>x6!SgVitI+#`dp#ZE5qYK3YQyqO{{gWFr z?U#!-0z!QvymYkwR`oDAs|9|NYD2qLNIWN?* zeUD_)9gTfnD|3+l0_MDco592g@*J4q1a-&mo_WbMa;!9ZsF)-CIT;!G*)Q@KPDA5t zzWS=Ui@rME=dsoefhEfuOZn^jCGCO(!=EOu?=x-}>Nj2{4cUK1tM;LzvQ(YL&`Oqs zK)o5uJ*}7~a;8^ll5zvk9$ss-AA1akP`YA{D_9*hc@M~h= zdQ;kgXawh@`LEbk{*z|y?)h=#DQT%*L91+gG2g9s#zKUc^p6>r#2i+=1wRzZ(<7<^ zJj=MsVvDm~1vn_Wf7JW#tCPe7esiHIdc-hW@K2}_^Fz(FsgaJYOn6Py6Rtw8NTAU5 z=c_8dLR1H;0kaJpF*l^CK=Owg>I7BpR=i8&;RtxE)%&79x^MebymIL@L^OF>gIOU} z5i%~hGMo!IPXKL(GxCEj7z{@Yq2@?L^*qqwX)zG=;h-1Q>S}q@&zaen!RQX30u>g- zeH;V~zF(X|)IRkOZA#=elG>gFnBGe+F(D}11K|8h`2dz4;c=PXj%Z3BnsMed60I5e zF@pxHa1-nyPUd#GFdLW2Z4eQ1k|~M_$&j42`#0;jm-^W^LOUBBH)NNP_t?eZy72>< zF%UqBRuk4~Sf%8`iSO2UsfM}7tP3`itwc%d)=JZVk?JQd(1&>laIUd4HDZHYz z@fRLh7+V~)eDL6Q;cnizFQdv$*5Nxw=F^Sb;XerqZo6$cmuhjacJ39?lQ7y(Oj}7* zGy+WxR1OWE=|}$K^W4EztbGnJr^o^<^8pe?+_>8-V7i5aQ^~HMGKApWw)a?JJrDh0#%#=~{G4f*)&`W5f}p4;=LY|TgA&iXVI|LB~%eobok z7zfyrK9^)JOD1RPPfO;|V|v7Y*ZF1_jS6ky)JFZJb3SKs^4CSbER=eIT)eY86cJsz zhpl;rm6_E=@>^Zma`flqkml+aOT{wF+4dGL72-b&Fh6z8Mtxw3M?B_qn^Agc(}|K( zp$RJF)+K}Al}ehjc{!7Nuq4fZL~|3C+%P614qjzmO??YHeoZlJF-NllOi|2u><3bM zIuG&zwv!q*fWc$O2!h#mVzvTSOmD-&rnLjpc=dDLiC%ar=XbqR5STzZ#KyJ_zEep& zK~O9R$ks@I-IZ6EDnVjcqRg$`1a=#32`(UOT>P2B!S>Y82Q&_<>S&}GLrwYN3N;$W z&s1^xPuoN%#$XGfiUBuu7*v5Ajw;Cl?#3{6{1}QG2OiWK%ny*}O5xo17;G^U4Gf*9 zIuEQ7%SAzHVLW*qf;b>J2o<+Op8^i=UI@Rj8}~>iB-FL28$AK(?$BaSeLn=lq(c{S z2&i2sC#ctR2Ns7TI2pNM*&s`n3kbxWzX6Mo_p2)K{?Ps~#!~n!@WF4e!NLzM0Z8&T zvoAD&FQGyp4)bY{&G_1*3Vvy<4;^LP{H%{?Cg~i9XU9Nt0J`W+L0Od~7tL zH3tM;I2bAMvB!H#y|cc7w`i@%>o;v~SDUY>$h);N#ufi^W5|@=@t!R#7;EuYLB~aH z?{+n>m{YbjbBvIo$gMLE3oW$;j8!^4?|QU(_^ZVK+LfEQc|=SbF>s+%FIUVn`!-Ov zq>c+hNFGm;*SLYN{sC#e8r>UM%Ald)IT=V<-TF9N0LD3vBkN7jkFm2!qj?~*xDm5& z`IF0A6;M8B=L_4_7?|eTa&u)3S?OOSuCeJ;*rua^LS+>kVWB-7(-km<2XW;eR}NSD zDAU*3ZP{B5ITZ@M@AmZkeAnukts zs&{(@`ac*9sMhw-Lkb0Op|%riEwyOXF_kS1>}?O%!I&ijGA#+}uwe4w2sgHlTn4Pd^>Zxa^_6_g1t($e;U4umO&;rHw!RqFF zw#nt^$`hs;8y%*17dzPI9*;d)esDnORa=wo-K`aL=D_Iv46{*>yosQRaLw(U7Q5aN znWu?Q);QoAcg(gzrLpjyN>(yGW#?(>L6&4k@n9!B(>#(rK6^C9y~CqL@Yqi5pNV-6 za8&q5NYkR!Z6(daUKK!)jzJ? zXpH4yBZex9e-yAdtMdqCF8W*=eI=TdWU4ZB=YSZ%D{~m<@7b~GzRV0K!)Ws*LA^(9 z$ck1a9AGYoG|?9ZLMZ!%GxI!j&hlIOz{}C2{~6@usL3HAU(WbJ4uF917C3VKC`DSq zaIM1)v(1Qq!YS-rhkzV6ZjFq5&EQ=Sv-z7^{W_*EM~dL$m;mx#hxe(<)`*RL!5(t( z=yg;WLDrC&Hx7l}gBy#sl4W{wI@G&#Pxr~N2KJ3h|CC#sU`~eU#t^FY#{Zw!xB~LE z)+oE*Jrp!F@H1$C*eOE;fb9go$u zCpT^pCrs;8gKJrrv2K%#i^l^BUu4NDMCz0~C9KdFyV>R!B{PyWa71k0j;Q;d5~tMd@bKwY0p}C#Ul2Jbc`)8IV0R>v8(XSB*MElzIg=8W`!=^Kwb8nR*h0X31wPmsXdzt9PBVe zks^zAwAmp)o%V-L2kL*56IthY(zWsD=1X>dUGKT;vta03xb4S`Q^*lh$o0Z0d?vi# z47j!6GUc-95-wg9!?bHi0T3>> z+<$*ZV(7mI7!%w0POit-|7kV;m+jE!{6o{J;yZ`Wz~_gwPu>phPYx+NM)K5f|0bl- z<89;5KJ7hxiE^PY=r6DP*UztOUokszUl6+X(`DP~Y*!D)`OH*6Ptd@bUlEDYmnetGgivO}^x{3ox99j6%l&wZ)30Wx9bI?^)lCCe(17taBHD;`8*OU9e}B6$eA zn0Ec-)dZ@w1A#}G(USyMJq=OBFZgMSEtr*Vpv-#u{}A9c*Bb#~7MN>7L#5=se97OgWfiAzv}lVU9?CN!CC^ z_TKtqZSm2;Pe=1pg0klkr%$z4!q6`T`rHI~v?CPAHLhV#^&BgJ?%0^$(qT2rYd;S0hky2~n0I|MdP_pU z#w!_VS)Itw~v!(iXgIu76QKNy$YO+O1Nw8Fu{n1xDaVpM)x4 ztDLF&2;Nudd4B#86ndVNh6$3k+UgT}r>!=^5^>n`qCIyiT@~JXS{okZ5Dr;Of5M|l zf*_^aVdhdU)7n~-e$c8evQC`6eiQASDrim;q#@6#jJy@n$5u1Mb_De;$OeIR2i967 z!MS#<6GXe@Wu~6~9lEVeY*ieEwsed|pXUB3-uW-V{YSvaCcyT`GpgiKI>}Z16lBG_XGPe^OjA;34A73L$=LVzXtkI6ZD9m(HB{a&Q-IMIbEAU_u|Vj zHEf-clQ|WhYH|%f`PCM(Z|14wO^AE)Wcp=_Q@tARZ*uwIkR78a;fo1NQpZ|8_ACAr z5AGAy4GJ-ME?TAPHx?dHmp3=s|7|f7`UIu=$4wB#^sW76Hc5!t1rINkU#ioHpa zd$41uTi7Pmi^)=n>t~ANRtXZZf~Io3n#o$ca+`oO^-?;gO2zXf_HL7TuVGiheqv`b z3b@<2<{m~N_^))i=gx~le!i_SBKV?ki(`Ume-4C9dgaS&tLPirsS1bj2F+!IBQI4Q z?xORifn5$|Ud)sMVfbn-o4jOBU0uell5HW2BTLj!O~8o=(iOx13=FqW5^6dp=DEq4 z`kKMtANoRJ0lg6`;|n<$-pEKb3ml1vk*L`-8LK`ILL*H}srj;2JMQ`dzL6_K94*Gw zH-2yI>1iQNmC$FxNK@cpAlh^7Yj_W&flxbs6p0VT11h9xqP27s|5Q)ZyRVUn{vMi{ zkRVPvgb_lf=yu;dMus;9yueRnap&8yMSG$i`7&wv^!D+Nfabph5_I5aPEguD3;|!T ziD!?U&p#g;?PW+^=cWea(C-O1_VIn;kzL-<42>0IP-$|I z-!1k&mLxGe#bh!xCZRjrUR8t&=eITDkK!S+2+Tlav!#?rQn~y7a1izGkhGfbkx}b{ znQQ8E*RHM_xJY-8+WX3Y3K`g}jX@Av#Mk1;&= z&VBAZ_uO+{=XEIDAH@Gr6|F`yo7O-6^Cz0;lS}II3r@AtgB-QVjcU_{qu^)){AQo! z-R{uKw|hb_Du{|^oFW;_KKOY^dLl|1a8s|m`Q6qy-8|CrAYGLIpYuKgI+rfism-eN zXct@|Rn1Ocztd;`E-L)EM}26z{6^0a0Zj{eVBdJd72shk3M3KTdLqXrF+&pdYm>wP z;1Ga=crntkTi;9K7C>`VDaj-3GXa230Fonp0-&B=y_+oJ*uK*5xwg@RezUY83V>KI zv;t)|9*S+8*8n&s1UD|K3@}&J!)P|CPy!OwS7cWPM^hf z3uwDZcQ|PGlGUEhrH+%}JPz)OZFQ!aykaMl8Z?OSQRq_p2yd}%>Z)isM(PQ7v9S7FTaZF;v#n4e3nkEzk=SZ#WI zDnT_TcO|I%^;krrkYGZ#xM0F^a4a2Aa6yaL9oZAPZn2B%m^>~^_?KTrm7>{QCgQ+< zG~r($Bfq%g>Ep8dZ$%uSpJw@pO_FFox-mxLe2L&9$m)|IRCF=#Mu@YF&zqSGcNgR` zL`_xJ-`+T%Aqu#5D*FVx{I<|`FgyY?#;Nc#cf*f|hTNaynAY#TsHVkN$H37`dK{gfxaI^q%0 z8~P?fQKMi@JMPU=$^lmCK5#VZL%Y?}`nm-z+JD03phL$*e*(wqA#H4(W%TC{CxI?! z$OC7Wt-BguX5GlWKwNAG08bZ6SC#;Z=nf)Z&8bk$royT1hxn`;%*X8az1iNApLjhq z42&z6lkQyV?>OuUa7Y29b556uV)v4|Iecc9!O5aZQ2+=!<)GBhmuy7&DpmGBnTlfC zqin=en?EwXX{YjoF!z#0&RH(U9|erSn_EC`dcpawM71*Wcet4-n+wAv>y}j8 zILt^Q)5=Ij=o007Uk-jO|K;}gx>uY3n#?QT`oGOA8+n#PA87MW&HYHN2p zD~&&M*ZCUcQf*FLkIYLhZC6>#Idb~E0FX~}Il(Ts=FBgsT5?1s`yVc%2Qg&xdOZ=y z-MQ>xcjEekw|oWfPZhAtX4wmNVuA#b02R4mh13C|yY}G;pvpzAOlz+WeCyOtwQYOp zsol*_?AOBrA_~|;v~Q*&$X`m ze3JB@aQAtx7jdmeRG6nE#YxSFS;(>c;X)YSl|Pn!IF9OoQ9 zW2SlClql~#Vb->czIHw*XYA-m~fE7eC(1oIwim++Dpp=(F#hZ#P&)N^&6JYHBHC+YuzHFd|P?j^f>_NHS;m z&wq8#S+upS|1Jqly1{uAkdwg*?~otYe*>v+PTS}m%3!N}-WG=oVr+~xVQ~Gr>tSn+ zcdP{+XaMa`7sk9RJio`br3|e9a^711dPS*cm6{8_1Xe8~-b z5jL(xEkv=mvpEFhCmYwvubs)Ui#;}*edqiYNlnQl_C7}&uZQuSy8L=c6mXDtiSV2AVtr7H|GSRhx}k2S~IS__N~VC6$B?pEAQcz zTRTG-2}6BLVYlW5xg-3B&_$}C(M28M9g*zalS_Di>4Mo}`>+hxda->jzu%A86M}ef zaKvbk88MQw=Q{aXva4b$pEW{+lh}s)AIEnGtJ~1SHLwjFgsPeZm&I(xQJ*P*6g1p#U9wau?(ep*>|Dq2+a zUxqra`PH0lD|gkoJF9Bg+uG*?wJL73A=&)=*Y&`V5s-&oyTqj#wO8_sIvc8oap0=! zbAm8n@J6{tW4bENC(WC`3j5K0cTe)vaM-7WG2(~HL>|xR2Rqx+Xb8VreE9;dHK~cB z3Q9C=pz|dnx#RFYXtX0vjYO$BW?{z2e8tpHEzq3@CvJCLDWt_F4T_&ck-W^m!Etr zzFP{s-Q70B>@KKb!B35wq`?$-<-vkmvqS<>Kxhm)E`;Y7m>&v~3y!=Et@PZb$ zXt)?(JKX<%_yyE#j{mqjf*+WLQG2p zUK;mOI74vDerVxUC$uY&G{6IL{J`U-252zYH|FrNxrbGjMOjAYj|M)%NEE^4?W+!~ z&iB%Od1S*ixjti31IbnIcdMn}O-q|Zo}KeMYZ)S-ZwfKPo+1JAeoMF2Uv?TH{IB#w z{dhrsuA;O4bGve=RW-zy&D*A=+lgtyXTG@oZWaGGVg2Tih}pV@=uX33h)W{}0?=t| zk|fg=pjI?>+FuZaEd&v0=e7Lo(9GTLql`k(=SlpSDrm!%OBFwF{_}CSBKa1G-ltRE z1A2uY0{XqsYJ{6b^r_K;FZ_>!E4)AM#0B+f*B3L3->>+BsrcUbk$49GyeTG_F3k|k zo(Kr&Kdx(Ub}jLc?9V~1x7;kP^J7}S0;h~MCkiti3-EABvUWU?{dl{vn@{z(>s57M}A8({XKoxpc@<&5~P0NJu6BIy>Fzkg3UGoA-@;HXn4-zO1i7|3%;MC?pYa~7$0P35%el4KZO(k3QZ#9Tk__{ii%A+m z-EY=GnzOR6GIMo#^VrYa+@0K@!CWXOj~_ptpe6#{y5-vam7wYgj4V-u@hu;(KL%SE`1X(5GlHJzR``uBGF#{-Zb zpYGq6jbT6x-x%-S-R33fEMxGPt7`F=AxR~=M1I=;Jg7}EW0?PT*J)*DJWxH+bY&wu zCdZFj^wCBv#qY(77gOd|>N5xT(oQlG=BZ*nO=}&1d?yo}Re65la=(x*VBk*UPNWuX zV`Y$JU7uAeTt?k#%Fd!tO#G9cIMuk%7m}C-4i{=#){)-6rT%S8n?bLuws2!|22~bA zR;4vKr8sAbQ&W64Idbqd{*$y;dps7nLmtu02?L9_bE1$#JF>{3c`L$`t&*z;!)pg3 zZAz{prV+JNgnZ>15KFWH-R8$?CNq7};eshwM zebpu)#?CO&I1h|r-zvUB{*b2hfMJ#SsL#?Qi_j0eU`oVeM=$>UJ;Evz2|Z};$PvdtP1)qGSOIg}$k0vh z+~c?(af#eU${aTos~xbu@&;qzcSxVo(#n;9Cr*sx^ya~xI*H;@+oS$Nj@!sz*l${d zP15?j%AF+oae7oXc}BNf?-y2eRoS4bBfZl-Xbt=y1E^c>@$zxs9u^e|rJ=T?Re{;z z8mnO2167ti&WSN|f{JY8J0NnYr!7tP>%6$uMyU(#L884%DWenmV}=qEpx&hwsyFpu zl^lrM%tSUBl#4z1wsxX-l6ghW7#n=7L)O1m`_OFkwr7>6)n{d5!rmT~B{yd48biAD zpZ^11M>H>~-}6%k+V8%OdwcXG599%x*x2NHIh@u&c?xJA2o%$w30cw(T|9qMt?8eM_bKxG5xHj#xZ( z>io(%TByKHtJpml{{KpOuC=TH{NqzL<*-luC3ZF~74(w6D>;lDbub@kQW*b`Mm2nH zem{VG03vKOvq+ zPx)lH_0UU4r-VKK%xVsk`*uUAo`Xs}gXNhDx#h)&##g7TS_H*5D(gk$GqGwd&zu+% zZY{;cOAiYIGH|XhoEti=4p&&4{^KeiL zno>ga;qlCz(e!U*)g;`gKxb2`>1_26oOoxC08P)n_Uv?p3F z&$6!VnVNkq=0=CZVc<_NVU5iP?};7;NjKi%qLno6{%=dyp9rDLF)!8U#?@z5*B8O> zbT0}xsRg+Wc1VuO|0=R*K-}c%KoxfskSNaiOK5@?fz$4{KB~!o@1$>|cauM$dS0Ny zb;b{@{caKKqyAF`3p25A6&=7JlJ-ln6iYusmFDifghm--^1)f|zan@4?=;d*j(?zZ zr)uk$2TIv@NY;^iy;}Ih>XYoKv)lip_x@gZ1pdx-AN1E`B_3Q*bsWwicte0>`$s7mQU>T&;56y09O@H70Cc($Dco8GBs~vi+rhdY#%XF-H#K0 zUF?&gEf$2yjOfPcxx1Z=vGW?oyJXhxB1kgvNIEpJLvJA8C)1D3l7+k?fr>CBT!B3w zaF)!<73S6-i{a(GHwYKB?#*C6acUe)LC)LES-0Fm1zJH3%%K1LBuADW*|}5d`LNXe zV1qgk*}93EY?e4jkg;Tn9WAket9H;`LiW6Uw$LF^tT`&3CnQv#ZRs#m&0< zwdrL7b~_d}%v2gYQo9jq#*1hu%irmiV>Lqer%Gz5Ht2ERtuj%1(psU_-bwn6_B@jj ziE>A((32HAjU<4d(lZ8O;~Mq0%nL0%qxOV6jPDN@#plxhaE|`VXfwnugW$Y zk7R(8=C9h#5Mo0GU_B;kcRw4hDos*%lpSS-hlM%kwr|Qa)&YA)YYoF9mO88&r8=Ha zKI|%LNp4h|T+>@tivM!!aFw3tu)$6nn%R~$-|NPQai(uc8<%oA6MqNb7_Sj6uTsBy zCqaAoaGS>TociJi35}5t3W`wnQc6jN57cJ7`vp;YY%GS+n5YS@Yqc6F-uMz=EBhmI zZkg@GagoEVQavu^uN;;u4Q~xt*MZJ8==+;joB2F3_vpRr{FzYN$B?PHHwoxACr3R`!(gj?AFsP*bZabH?YlHno^n|<{|L;GXL)$%nvo8_1~|Gcdd zUHYTZEwxF(tZK&hlA)y;JC1D|>Z4NHhcSd1#0(aT!qN}6KjGU=l3G4Q#>q>!LsI3U zcN(f!wMNssk=yesy75tL>s;PsHfB0rmI24M_!KKmRB5a!sDLB)t{bnr|=C@uL5k}ta)pyorZ;_f{ zeo_{DbNnRH#l^kH>qDkm!4XK+DAKd}IjofKmwx`0#=XZXq+XgR_7}5bvrl+wOo_tPP{b*1|wU;k5+}iJ~w5qK`4#8 z8=v>*+xx}EW0NQQtM;?$&SIVZ!N!IYioWWiwqVf((JgwzUiGNZ=6=~1{Qi2ZnKP|p z$Pz)$SlR^a_msRSea6X39j~rq$M!-+Ql}oE7_I581*ozn-lqau5B}LQeLxc%?E29( zYU$T@=hC$M5W;UdI-|3y7)02=5CeDH-d@({gI=}(K9vrzvE7g}+|SP2WqGRg?C@G{ zX62&&XZ@G!U^Sdz`ZApB`V<9NQ`tqTI^2JAB2Tq$`A6;cqFVO}^z;#g_bziL7o&&XO_zbY!k@Y3h!i(u`f-Xbp?=rq zcER#4wlF(xMiQb>3BSPA@<|V_y8WV7W|%Hr)pM*t!uS$_TuV+;pSg);9*WuW57ar_KcVjZf&|qj8lZG|*?*ole==!@SLPPgQcn?lnqPxu zuV2<>-L__5v*ya#V3mvzZ1@)gx?FF7gvQzj)O9X&~4a;dId+Gk`sL!hn+!2~vIzb-s$*WiznxH3J0Q~6T3XhME`_XtrF z^ea9IsREmNuNygsBnhb4GQanyi&GvE4I#>-Y(6r*>aK^zf#V;lN+~GEWPLwJXvJYx z9CS5F(p<5g-L^uqJ0?_PTKM&TbM{t(ZX2dx6tDmD<)EG6S?h2kH zgsL9jND7l@gCCZHU9{~VLeCnY#HAKwYJPs9&PfGopoO}`&Co9}VtQGXc+*nPGFR3r zJ~SCOi_7T48_-L`fHJRCnPr0E8)bUB?!H5s3m!y2*c*5PT@_Pb?3nV&Q@}hg?Y{e5 zyTEO0k6F`zsCS)=f6893{&eU9{F?|+VHz_t&NlEu zHih6H{JaKvpoisRy(Pxirq*9O`HC~|areghD-zKA@hH^B73lQ>qOI%d_&s^YlP)V!frSWI5t1-^z_r>By0*a=?Bw&uXAioQR zjFlLs=w;!_D|Q6L-0FtLtEyQe1Dt%UpBv7@*$$VFOp`)sqae01lcSFjFN{@#xkZvZSk604de%^t$Dlifpk|DN}XtUap zLu$_8l1<(>B5}#ilfA}xnalNi_Td3tzl8M6>E*h$Pcm=U=GGeCX5K8TnjnMCC3Zkp zYpU59M4A19kg22VKXn>O>sczMjt?oox00VX_W)5w_xwI>8``Cr-(sq%uZz4B>NyKKv_e{3r= z;tz>ReVv$)+|cli8rebGDTJtecsEHi+c3O!!?|KEc4%{*w6>W0>Ysw%7sxasv;DrA<^Z4iD+f%U@vXdV<$0@!|X$Dkhr6fkpdY*sGVXAGkd$YN>Um6&CKla zKlviyw7y0gkyEFuw&B?3IJGk#??$Ps$TLw<8?S9&Xq9z7k+zijIO6+QN^z3;052An zM;yz_z;HPfj8<-N`OfvL%>|cif_>P%%NPyqq|FjvKQfhtrn)5t1n{tv43gzjno6tQ z(jILmIVWa~aKlNJzm(J_siE%0bEdD}C8N7&5do@R!=?>OwwhGM#qi0hik(phm;uZK zhM4Yy&gJ+y9$x;7HP!E2;-9sCAKHk?_l6p6P~?ZJ5HnY$OI@0AAn=Jz+dzXYs^>v#4cvc z*{1?0bp6nZf^hGIu=`)}Pmax#8d5VJq1`a@3)cVWAFHT;Vf3jW$=W`l&-_VOvxBqO zO0xrIdZ6qq3d`u+uV4euTR*;aQo+Zh{PP#u-5)SfnM={anw#jxnnh0LuqJT=pM#Vv-V+iW`q zGeEqMa@D9gtEE@%o8vE6shsM#)!IaQ>Bn8e+B&xQ@D+8(B00li-yYlf=NWtR1*l*_`v3?yrv-|vE~ z>8lyFB}q~~nGO0pFu!<>d;Hy$9Hv$yj5J@rm;Sa|E)~zNec$%DMv>D|C1u%IBU9z1 zG&kLNBOBg<5%dsE!oqg1l<{TfF-2>Adbyc4W>R_OI%m=*?)qbO`zaNY(CW>dkTx*m z7HV(L8nrh$n4!oCBh*xWPQkN^Upt4dYztAXrZuSfl{1{_(eO&>kp|u=X;Pn-bGk}g zjlQ#6x$GX7KRGmj*c@YQx#;hRzOp;QwanZrjd!kGjF(~g+N43UnMbUoJ{G5{el7R0 zmy$_>ef}rr)Kalw&ro1FINnFvOOc<0N$nRLD^lJr%)$&CRb<>t37g-pga*r1Y8@YE zEZnY!s&9W=N9Xy)s283?k+6V==wyh#Ob-u^eIwX%JQz1zwnY36XUli>e)=QzQcUCt6bW)LgUG+T)Ik0=RJPh{Loh(a>%KA z`U7?31i>FK`=STrp?0z`nj<&7nWA!}7oI+|fBx&{C}{CHf&EJDyvWK2a?D^EsPY{P zOc$7MRjA&O&lhy`^{eK=BGrp}&aaVF@PbgaS=$CFUewC0^x<<0*$mGMGsPI(_Ry2! z$i_VtarV6Caqn-K{3EUJD6}L>#jiE%?Op_7^Z13$M0hl`zUaYuoyo9baz#8@(Qozb0=S)8ON81EHma> z20n*T^zg<}X12rU3fjtn;da;+%U;=vPqVIgqUT+;>pxPU3j0@1#zeP2vCXhz@EZ3U z*bVGAH|WGoqv8dL8?Ychth~=Zi>|#R+|%>%E-Zvn%&Heq=F?;y@Y77OX~NWxb$-3{ zO4*F|J&w6c7d7UwH+`{GkXrOkrCV(*$ZCZ~-W)c>5neiQYT2SLpwo1=5-$#;xp;5k z^O+SSCp2vkqTyB`p2MDpYyBkpj)mLmW3!Bmr+=Y;tAU#{tb1Ud&D4Cb(<9ukc&|tu zDn18+X){u0i43{ChboI3DckRUCk`=^c%E8&o_xTxcnz70+j}Sb-b*f^ahLvwF5-C3 ztk!g+ajgg&7cS856WDs+p;lN$R@2)ibT$iJMNaec;KqvAXy}l!kMl5{GZXdK$>GUX8l@^Z%3agUu%w&6 zix^#izm}FaiAn47wb^NxZis?F{Yq2!(xnXmr}4={u-iH<*T&FcRaN&&<`ZL$V|tNs zPRS`M9nh)@##gFG2ny!?p+P@s;}q;IlCwW?&;mATcO+XU@k^b>pF8Ne^CsJ+@;ghl z6a3v10MVkzf_Ph2uGf0w#QYJB(?uH^=#LfPdH4_InO{zEEzdLeAGY61xB#_pJ(@7A zS{SrMkVHc1e`AzdRE;FWCwWFQ)P>pL08y+tQb}NR*|7yK7oV<+cUx}AvCh8*5Omcn zw-$FD6MU7aCo&5!RgA3ApqvuahszemnD*4kPGaKLLZz3B~=}C0W9NYAAf`RMt6+FXJHfQOC_sI|R!rCT>PedUF z-X$oj)7FSq;cr>((kgUA&mWDLK#f9V=@sl$duy(SD?!;#>y=cswTTSZ6MFt4oRv_{ z#UE$~L3+jEP4_khBbyCN7=S7(Pi{xFp(uM%s}vw0j^T#u=in9H5kDaT!**Fo1KHr!;5Zs(L~*jVVFj zlo%9(nR?!6thqOri9zkW6?Y>3IBga~xjhGWTm9ZgsJ?a>$CHg+*~mrT9GWlA>YmBx zH(-7C&Z^GL`EmoJ_(zn~w9^_8U}oioK3}$N>D)w1rsqfbH{;C|0%~*Om)UY ze<=P_qU|ZjWH4kfAx22jgj*pHk9c(Eh+CNty}DicBzH~JTeZze_vgA*tHW`%>%p!U z&kYjuP5&(>^Vi^E++8HrC$o?TN)IzuQ413SV;A`D*+bjrxSQ>yEEKVM`e=) z2c1JR0(gYP8NU%3cS}1HNT12f$sxdM{43?TGdZ#X%C7N^ipFNQ0pe&rnBdCLrCP)T zO#%P@;|@|U4Mr~rFi^YQ#0OPzKfHchGuegCO0^R#ZxKXPb& z1ce_cx7Nd`IX4V|Q$*^8!O}tqCyDCNaZk(>2D|&p+-dawC8n<(ayAA=dR?p_ zNgF16my+sepG-Hovzg;U*EjQ-b#-!I6{zR5U|i&q7rsOLUq0ka95SBS6v#t`ldOK)W#3K38ZD*;qy-UAFENV9^9Olb5HeO-3I_3D#A7g~V zzmB^prf6BRQ=XR?nGwS=@)k~{-z#ccargVBtN1eK}#)!asYtG0(6+I}xm|J1Oo& zacW%uqB5jJ@#U;>0>c4U+~`%sKf~~9dO5mXzvb4xzae?cDu?M$+g4Th-%z2e%zI67 z6#I)inA$AEGQBU$h8=K41{XIO7l*VUmEqV)2fO@JA;c@ zC59R9@k)qNPs!=?>emtlTfPhP+p9sO{~JF9u7AU8XZWohqWQvH!x#S1$L;>w)LocQ zbfHL+_{m%dh&UFAVo;O+tIhgDuO!$OWL|ZZf0G3`ub18!oT>3 zsL4ANF6c5?MtPe%@X(?Ctq0m#26rzK!?>`?7EP}7k}lFDmhkX=(8NMW4=Zp`iJV*} z^~GKBaGC#wnn_Afx4snXy*^Ltwe^z4ggSlaOAGEpKUn`K99Z^}Yb!v~T58IRyH&s& zajekLM_Xn3{-Jy7a-kDa3oiPjAu+C73???{$6#-Sl|ULIWmF)ZJsTWClr}a?^~7Vn zvmRmGhVG4tDh>iz1A3nldLODRm_^1xg>qV!hG$zI7#Tu-o5Xp)QG7`#G@6l5dh;;% zcI~no^Z$fW6NT9Pr+%HsadnFp_izH_>a+eHY|(4mK0{eVVa+Kn*7 zrQ%xw!BIooBR!W@I$`_*q+Xen!4s|kiSzp8_S_?{Olk?^UWYvsMwAb5%87i0Omc!I z9EL`wxl2GnD$?Q9^Q`{{5dlkQ?V#m?kVDdY5;+;B3*Y!UZodeV@G>mJXFXR{$5MkU zdLGYMK;2 zSI>7m^mMv-e+(?fx;kW3o4A}-n8Xdef>*tOT1>bxrH`&M2z zS%csX(RCNWX;Y>#I^&|szWYrx>87u43*~4h6;1vzQSQ1eA5j|iB-L+7lC1byqts%1 zg1q2jf989wy;_W6BF#i2tswK-Z|F!Kc5wBwx($}qLu&fZ>OFW3js#$0C&R#kjB$aN zRZT|RP>F8GtB&*v`Qj4I-`_GhAT?(Wd&^$l51HY5P`ovB2NjVry)wZB7(tx z{Qf8>&EE#mPlP(FVR-wDM!w*YlU;!bJtqb}^-*g+);(!nky$SQ^&gM#=5AG2T&U^} z{XdWUp!rY%Sdc0Eu%hvpDjk|j{`$b8>zrM^;#~hjCnxJoV9n6v6XI@g$Pi8toyoJE*c=T!57ddEwFL5x7&pDcprr}2x6<>~+ z3`x|0m*vNcASiQ;xT;u7WvI0BsXyw2c24w&!=$<(!!cy1vIhVE_S?1Inro{+0%GbU zZ|a0Uru%|Fz#oWF>moNtKN9(Kf`(5XHt`G@2%(DB!YwhO?KyRiXUX4HozL$$kAK?x zXZU0eyA`rFe!)+F(^$fn`%lRFO(UcfY)sf}jLXJ+g>U4EQ|a>Us-hL%XY>C(zTyitPrUiutgg+%elYm$m9RO{w#yv^zRHLv*3Pro%S3T z$u58cw*vt0jgC7Wm^&)j|G`qH?3I-&IMBZ75-j%lKz4=zqTv*P4*{l%tF!BK4qqmr z9N|=r-BG?;GYvROSBU$AwRB^m0u@+_?t$wej4L|KBYTwBo5eA!25nXC5cr^>@r2>X z!Q=WXw&n-e!+U$MtNbKP&KC8(3ILo^i33_!dJ7Py&T+op!~+9{%ie(i!HMs>sG2?| zfD@cBvia{815!_-4`fW{z5JP9v5*?Zav~#PX?R0=uR~tN{C4|ae9q|>$<;D`zahB) z&|P?Wqi9|h8e+P5Sbtt=?^L{61LWDh$A+RQaGW48C*TzmWM}H`Ab`-c|FF5IAPszr zzrYMCZsl|KUyNx$Brj|qyQn~ID0z{?YbU5o@Y{5Nt$#H3dtF*}M5?70tAw&_``Jvq zs!`e(XGq`E#r)cOH^@R|?^y2upv9>KU{4(5Tf*Su2Ii%&`Ws83tKqvN#m$B#ddaZo zZ2m*|s1wH%Y!iYs|H8;rT-GxMhT~%DSaq*a0POXKmBNQ=Sl$+|%)N7A4FF6l0rYpk zvH|Z;DllrzD;1c*N@FSA8Hn+QqNCWLFD$KW0D`&8Z^_2QN%f#yO4Y8rjwEB%p*0(kFT(4$P^!h#H^%$yFhFl?@aC+m@|hC z$oUUk(LySuVH#P(_3G1okIwLYS1Cx@4&cXhV;0lW=yt`sUx$Eb+*wbJgyE~Jc<&ZP zY|?I%^y7d<1c5P`3q@{3nNiDIHL$Dh7>45nYiCS>kO5&X=2ELk8fF;AI0?H7uVp-% zs|WICKIfv5TR-%t{Pr0H)N(f*x0&^?!)wX%8pZ?#JW|ytYTkX?4Y{OmCcaz8i3M+d z!#n!-g8X}<1JV8~*7|1=yxw(3u&Zz4s&aI(>3a}HF=VWA*@AM5#*f(ZrB)F%Myf~} zlnPG@f`AbHT}(~k^?!AaAQfj`K(k6%x#l)KTr`9?W1VfRS@q1%mEUWSPuQqe zUG_d3rj!_#V7C$NDK~5l?{02|u+}q09@W%x6SU32;{XnCRY$j2wbCq$u<8`#Mj|{*KOKYCXen+d*6@%|r#mHr{Q*y1> zJP&{vYQ~HMO+Y$6MyNZIaYpJMu2czP(S{mzSQhUz+~%DxLy_P}(xtg0M?mMqwtwkp zH?1ipp7<&k&Rn~XWvpoumbIpV8S`DS7O&b)=G1s~>^}FUz|X^c*Li~Gc-lh` z1=PMUu|JO49Sm;Z zsFxBi+v|_B?yKlQ@04G++-?ZIrE1jTBs+ck8-4G0VP71Uz6_*FF-?F(k>5O^NXdWw z=+AE%@$Cay3KL1-5g=Eg$+BDU6CRULwlVktnd3+@{~h;6>NdJz)*xTiB(!dDjG7K{D>+<*-wycJnrr*5DK8J6W z@&DPUc9r=$jWoC)hBoLe1GM6~j(#!PZyifB8tT@~15;H+2T)_Del%6svr!(82#`=! zO|%N{9LE<^)%6dKZFR_1IWAlAB8E?$m%jg4Q%-w{J@RQd7J@c0@+f2G@5vua{6&=dPln4F4wmCIPu<8%XO_^Ql-3r;IPqyt$193anc>%bR@%x=P(}-+=G2MSp!D?#@6ttF zhw|#K7-AzlQ3HIRSv`2N>}vtxzZ~SAyYr z(DP@QmX}z$t})(1MNZC0kaCN|Y;1i(Wg{^; zvT|Bt$aSU7+8ud9Hgqn3aK1Lj!#b_je8z8lTeN)2?F(C?3h0zxpruwW zp3UvS`D=$BA0ijm85YD(sc7Y5*`C{9x&P;qO`*<1?d!if#JJXCIzZZZjyssdIhPe$ z^+ll)8+~v*{Tt8w62T_;{w%&CEwkZWpA`GCxk`I?7N9b`_SJ$5GEd zU=+5(w_~*S8s42ERB3>#j+TtDfwdxHNTK$Qr<^#4@6h=L~aU}puR*Aq2tx_NB; zti^Y;a{cB;e~A+s@0NiG5g+z@DqcWpt?YBUV9d^Zxyc&gUJbKvp5+-@*r15kr#_n0|`t85o!cR>UP&-DW z=FZiE(*wtJIevk8UUB5JrzM}|Vh=zB5zMc@lEJ*_xfB?np>^HN;{Fo!Q_e$}g#phO zjwya4HZs>yPj(91D;xJh!TKI@VKae!eS$jhK+Qjxhj6(%=wy8RP72_Tt&YY4V&I-q$&G+BA;1@jB&9T2FNQep8X&=D2 zTP*|oNK3TC4B)Q9eD;O162&b}zjT)eij@14WGU3%cbLDKy#G1QW?n>%SE zI>Wn~885?p*GGcfv`M;aun*1Zq8e7dY#+8P-LBM?mqkUANxE6$$`_Cx2p7=gv$mK2 z&@Gz4WHn0*FUumjy4B4VJ7)@++j?^PPHCw(;YS%&>Bp#l>k{$Y;2%k^vb={S;lf#f zzvf#mHs9QToX2RJuwIN9T#6fM16jjm(n*$1(5;){8*|#AP{zmn-H-Ne z7XwO~hXj%ZLQG#hc;Tcaby)s)v1`-_j>cvlXh(58=2g2JR_lE%Awa{4y)p1L52jg6 z?aZg5(kI{Vrm}693d$CPF0lLeUSTZ?4)?yt1iBNCaRm;lrA2AX9Tq#;5xfqtv2zf1 ze@7~K%FQ~+;Ae~hWyODIbSs#63k0au;jl1QHPY?guAMneyfr^4;5F#j&qx=?V zblo3!$oS0G%MUeN+062uxLfXW%RGV!!eeakL(E3{hBa z?Gz%B2WKjq>z*R-Id49zPAtZ~`^^t4iuhg>sKvEv-iLcvAK1x)Fsg54zPuJwX5s>Lj8-+>Vjl%?dnSC zgeG5nk9mr#DfYW{=CdVsOY0%n?*e}hRMFx2A~cSkZ>NJ*QAY7_(ld}nJ5E$ zAXVo~!bmT?)KkAs0;#t>lsT$VhE;pw#QZnOO&)8SR%I|I3a2fXs~m0Ge8x-yKX-yX z9lMzYC4E80Em8lqZ6dyPFfdbo9kP{1|9@<~c{J4h`v)vWXcBVQC~H*il2Q3)A6rQ) z+R$x6gv#E8G&7b#lx12-!i-jxW$b3c*o`bR3K?6L!HCgV#~6d>?f(9L=lPxUJpa@= zP6y5B^S<8K_1Z4P+J#cYrATLL26OvYt5K@3wHkvsfBST5jeLW=DQ?z8?}!MD*#Z-u z6ZNRgOZx<=Yw-2F(EEaTT{n^{@GOMX7iMQ5R(!T)tZeDi(%8miy%5wmFXL;v>?scg z&lly;K6{A3zv>{$TYQsnL(d3?`T3FY>Tav2C!4Q`Hf7I3ZBoM)WQY= zPcV9Z)4cescQW9OOBu7vl(*>avd3&p5ju-!G_^XDrBekxJsHr);eG8C5$v6Q%50iH z#?{tk#L~EIKef@OsYb##F%{v8X9$XB1|C7N?G(HG3oaw->xQT2S3^H0m^~P^CeC=+ zUZ=+gi22AT%w>ji=B!;XovB8JJ(LbVy12^(vbj^!xaou$qr_HmEd>4*u~aACpQNhl z>uwu96$7<{)x~%_Rv5yPo&^TM`PoUP$>WtG)HCzFt&S0hppM30?i zs>72r*uZX$pLj*j^ud%=%)!%ArlXgMtL-9YRhRo1ok`b6#22aTJFM+t7QL6#-&fUS zf6)7p-@C9!a^pkr^P;L69`LM?vZf!MJMa74Pd;AZ_1XV;?>E?$u+7=x>D`atUUnR9 z{Y&!Y+F#FH`)pm)Zf$p8F%iL)!DP7@^%1HLoWjv# z_EZAr=dgW67V3>^F>cFHd-S9Dfb&;pm0=C9j^pI`ZDvS=M<{sPe@1cPBfA&f_5OmT zRf+Q!cflvvjj5P#Ksj#=Yl?^R>S9bIxk(yurf&b<`+mwf^cdFIK%+%i(RStw+lb9J z7CJCmw^{yGg`+3U7{|R4Y@A3#jA>@^pvsW4d(g@+%CHOhK~Kf6(kLh|&dI$S-W*y> zSP*rv@T_ICW9Fu`^bZ18^*or)tsByA!5G8OWB&S&HEm;9m73`}+U z4sg_kRd>+-)8D#-N$ER4ofuS@yBb6LqmzD|Ay>D0c72DDWZ%MSx91CZ)#8t{YB&)S z{QS1};*>}=$F#4a0g--B)dsh}B%rFg2ZLYIC%nfhOLCRi@KHqS!;4zdZJS_lYq=wq# z7lttYPuQt}tsFyVOh~R9KD_CaiJZDzYZl2&@XqYDsr<3)&lD3@;_)5-@w}>ai~Uqzn^}8Q3H_6u`>qPHQ7Lt_53t)L0V68M*y9-msh;Krpl(BnMm& z#SR42OUDlw*+d_M&!(pNQ`vh7pbJ4ywUI{X;%NFICLTH@#i3II z7~)zRL)i8i+n_wPVLh69a!m#pfE5gE680=co_w<&dJ!+<&-KlS!H(QregDO*yEJd{ zI%))E>$MliX0a%P`lc- z57h1Gb7Zyu7{j79^5YWezU1Z$Mnmq5!nonCgT=HF%Wy~{)eZmjxHE8xbN1kmuMgWB z7O<7-V;0&1IpE&ab?>97-9dl1prBwkV1}vQFUWk(Q7(-dv{Qnp3$#~)&sQqjvlooB4AJvA5g|1mW1=9fgVGX#{=U#X-moKwN z4XBLKq0Q^M=KHZto^Vb&C$EB4;raHwWBM_=+s!|g{a_bw(1GF-+nk5j9sMk4a?U$y zEw9*d^HZ?8{gnQ-S1DCsaZaqOz9152jY{* zd07(tQpb$kwT4Go)&1_Vh~V*M+C`~^w%npr>(EHagH2;|2%dT_QzHp7cc{}0jSEH| z>Aey{k4fu@yKlO6KA}}%ECfDlJ|MTffqoD!`JOKRtKJHxMjqB^!)jh=8!qk z=VyzZ2{^`nIg2{7LU+bEz{*G7#v6x{yR#Lyqu~2_M&*X~r!MlNgw zSM|qu#LI`iK({Klo?Qmd437{6w_qSGB$)Ew*SHyD4 zqt(zyP<;p!C2edEp3W--QfYDZ2z$nP$ZNAeih2E)?r9@1RCV=NXD<2Z0w8svOY?1t z^{MKjDN^e;NJVextmE<}7424OvC+y^03aVxy9+6Wobg6Vj8`pC7^FD^dIwC*rQa?*WaAixu~3|*nt7bO+cWSl zlbD^f45+0x^30Y$$+g1DnwWLw6)?%Li0*ozsioYEjE8@5$1C%UU`bVF(aa1et2^7> zfL%9-uL6BUyea=XK+bwc-$2j4y`DzxUHF7?trX}Iz*z{bUxo`4V#CP+CKE{6=bTv* zYUH)9A1_&)GlD}P7t^)Z_D&GBqn(hG;dHB{cfzoG^?|+d3qJIig+~Zl7(K?9EZN02 zUi4N7NP?O!xUc^u0$0j5gbD3v50(X{(@3SURiA%dpKV9|LI`fRN~g|MFP0UTJV7s| zg}iooZQ9Q%4|$5UQNA>t)LoZTb$wAw>!Nz!VNwpS+U{HYBb`HmRMz*EyY5T=zQZxy zo^y!VFJ8UByPQa0oL3B<@Ham6ZCw3;rguIcY|&iQ?caFPSAE{U_*~dYAaZYd)WVos zF~_cV+i$4(){m!8FL28R(UsvCqhv!Ti-f%C$zPznAvSv-j@~ zCC-)P-1yK~sG|Rc_;~q+W8<24HP5?TMbHszQ(Gp|oBQEbS&MzaxxXcEi#J9`9Iw@~ z_*=4Umr|7LPoI~rsfeYSC_RXzB) z>Z~>rjKRA?nkxW9EnL8a?4}zEcM&7P}`Cj__+f1WO=uF_vgG__^80`v3Vqvcg z%(RvD?%3q{8{?cgi>ZOWFX@3J2a&_^uIKjLgv{yi)CO7{=pnwB^?CP9nC+qa#trtS zejO?6@1#3+iO(p<2FUr<#|ZfKg$tq+)YT)AdQ(O`;rXFCYXa40@YR-a;WH8`LB0;1 z#LCzlX8FqS0NTej<_mu_kj=4WBj6e8&_L1WBdnlYW7r&)9;1z>b{NJysu|#@UvfWv?&&tso4VIMiI7rH z3WThdb?xBf0i?;3bCMA?J;oWl)m#;{=l=GF!g_+a3Z#xRXBFTZe2fPf@}zLmM>xd~ zF}ke>hIgM@kcX^srOZ8 zfE$ZiObZb%x;GMv0{SUx59`FynelD!W1&GJuq4C~A{fE0lb0h0aNK3U50L92DoMhG z8ALBb|G*IdP6(%wiwn6#7#U1UA=rsSG0BD5Z3ZhHjN-QU?ANWKitxBA$Rn9vBX3>m zN7O-u!3;ITgp>rnA<|>KnXKQOf>>5^A#M30^3#j#zd!+U2S67f4d_nZj?vJbqiAY) zomE6UOKAEp)o*~>bZ*~Dw$o54ekjN}e0}NuQ1_Svo|LWfeRrUL_ng(u@Pq()*DHN8 z=Y8L={V7uH+;qp!6;*ZFY<}rEuYPkLDd=(Z*DaQlGgdRWXa|*hE_&Ni|Ls3DT5&K; zj;+G}PX`W4p4Gh?eJgxX4`(5wJ^6OF5xpfYz2hg`bIFZ}GkexOFeLf=B} z&F&EzDNb|rodiERkUTjmwI~li;s!owb$f`|Oi0Y;|?!i2;%!b$Q_k^3$|YkaSEuKUGoGpw_B-&lq;` zA`%Qil-?hd0Ox}9S?43U#4v)~*cP;)J_hwL%sCo5q+1&{Zd0h5UI#;1wzz9mh;UEs zPY?YRZiz21*}lIT=DBiH*w(l8suRr({4F}K>m5Grzm}Khzh-Z{;IH9k=X&mxJjMd@ zDB@K?f%ujOo>a($=-pblWXXcfVRW>mxw)(Y=Wlt1D5`ijB;F)4m?J0J!j!*#-l8G> zdbQ+NbJLrgW5{(mDYZ$q@v)n^a#C4gkQAW_Z=0L~B)f<%9w~=z>ZS}E-^^;ya8i#` z_JAl)rrQM|kL!{f#3Rpih|4vR)r#C??se|d*Q*mZrfjKySp*J?2096$5+!*ZIh>g# z#=0c~lZykDN}v4_T%v0x=_oB7%l^3_mRj7?Yx<*llgQh!AsKMmlB~%Ax$3vrNZAH3 zM!H>j!o8uu9-PfW<&cze3}Fwp?Why4&Va2|jt}jGp>LolkGk8X4;K_9St>f=l)Q++UG6QdWbkrUxLi ztCER+I=ELyZ|baXK{t7t^Z`^)?r>6P1{l!IgV3k!$pOv{A(b)Ex`KX?)K<1iS23-# z61IX@d})qw9DAz7N?~gz)G~in<@+P(%su6TdNZW#8}J$RP=N9FiB9I0;qZOt+BOPYE8ken&&L1&^88YrUw~=nnbj`5$!0Yn7$*R`D zNC17KyiEk7jDZl^(Scwz$Yw3nXRGt*W2?DDoqzjAYrrsw#aa=udWycm4`K~m9&=rL ze1cNsmpvPNQ@pv@MKQ)-{^q+;Xw=GoK(GJUJYpc~R^(@q6cIV{PKQYISGQ&Fhiutt zq45d^Nsrvd)G&8dxetA=@uSxr2KgrUd`ez(OQ1Dhs!e>#XX})=emU&BtzC0#f|~3q z$7+$$=m?QS4=x83?*GG#pX_mnfEGVK3Ug1pI94$i4CkxB7k3S-lXezt;@|FdDylyF zcmo~76-E3cF`iyvq*GAoV=iaT7&%)7uu7zCu*67Rp__~e-)^W^*E#4VdHCJeHPCA=;AYeN>kkb{T`dy?ZijhBt>kM*L)kMKP+r0z za0r@N72XQgAPH=LFdq;X_)nRtG*GPEN#UBa?S&zTLiXvM(NJVed_)z!QMk8Byc&!f zCErf8RfFy#ZgHhu4S74H>wl{ckjIF{#$01YU4$lj=wQ>HYP6& zs48^RS=~N$pPdYYhwqyQ99B3$Xgjl2^oDw;dlB}LLdm{$NO8lCOIvWuZLhZdKzC?I zBq`{=I1z64@{Ak4Ij#!A$Av~dfQ4W@Z8KMLRU+RggDl667(QVSJ%Y(bH#man6$@@O zy0{ZWY^Xw^-U$MO=^p zu2Q=u0#%T!0groQ2$M5zN{ojSB-Lo!z<)b#Dxenpw}*N)et~xTw|O#8QLU+kmH}&$ z20yWlzEuDaq)E%3!aC4+sT9URMGcVm-?Uc8t*^9U*mWzooQQDB1n3r%QjYTiMljo$ zttZ;Jk~dtncu0v*%|QbVfpWheiC9j541Rr~t8MybFr>#?(+#rY*GD(>DUSlblPCdl zTfohuTCuPYypH`zX$}$Hcu8d>p#P^$2k-D3*QQFnv`qTyFYjDPustk%sqP&&`o8Da zDwml|4?T@Bb~T==*_GFT=ewQWsNvoKF50cQ>rj0uKk)&ax9&yRn|F!BQxy`3fH&32h1QZW@k|!X0$l1UFZIaS=94PNXJX?cIy~@CYpr0v zA<{!AK){UD1*#vv(nM&aFzc<@FS$t`;7OG0OjDD_q68zmHdn0Q;`TN%L@cq+bSasoDW?wLn>SW-=<~d54gA&tyVy9r2T8g zctap9%j5rX1gDLlsFW1Q0VL>AxWK2xn~Zwqoy4U(QH{$M7TSWIE(C)&1x1*XuLF~A zMmbn;c9X=_-JEI@+W+UO28YGkB5Yft0k7DJ@#TKwxxc+EPP|3a>VmG>RIdKkDR_X? zVYnUltS!#!-`NJlbtzph;=(%EqqzHGVl*jB{Vmiiy)Ab|F&2ecdZSX3$1DsNcQspd zv=h``O`e-&B&l5=&LOTO94gd`_@i}E>{4T@NnfAXZLHMW$I2F=GR;zU*ll0shs9JS zMNC5iMMrSjdLT!zFxBe4D785IPX-j1gOUTUGCb~!Y5(~n!?>!IZDVA$WTki@2jC|` zEwke_-5yDf7^BlhAf~df=Oy3JW30OCz+>UeX|Sy}kC|Go5o`*ew@rPN4hb~*RlMd~ zJa?oFRU4w)ck_* zlA;wy?zynl$DdtS*aJhO;C#OffGhL!wc&yj93%i37QzUCmYsaNS4qqF@$g0DWcE;j zD;^In_z5KW(U@UVW;}prff8gr@J-Sj&s%|w@yZ^0fMczj8jmwoFqf+S8yy#vppzAO z()|Q$=KFoQyk5V$0ps!LqP4SsJ5&WVIJp_&%cA!1fiRK!Me&&pKl_i8CwoalPRRM^ zPPb*y(Dzj(1%pG9j=E82+7{D}h{km+HD{Y6imS{M#^>zZ+~9;bWn5PO0y&2Y8AH_$ z2L?t~e&u*XI+Ipy|ad~`|n|F~?%_{)#=FR`fHC^eUsHsbB zRhra7emZ|4Iv#$Zmqj#{dTaa+D_UYOV^EYB4#WTFUislxcGfLhzS5>$>UdIUTqW7CXs(7GMHlIiVu z9pLPgi3$BCBvc44ZvR^`P~O1(NI>{5Co-pmK?41<)pPS7t!EP9j8 zsze`1f+@sLa&f^89G7+F#Z}H<8WO9K|Es^`adF!-&Y4VI!mHa$jk-MS@BhW?1A%jI z1ZecqhVIhbvesigled4??&I?|UL3AIare;G!PuVDH-elUgMzh3?S1_|J+#Med^pF= zUHe_Q+~R(oT82QB?{v}`@D_Fx!hf%pqK0^NUuL>OmQa5u<>ZAgHjVO??tcGzca`3E z8-1g+YUuz2Yd4eo<3-_JwD*3p%olCvhyC@l`+ux&`SG z#Ejtb95byN<~J=fv_Q$zS^J@rsd9Z+2gu|l;VxU0s$C1%u^Y8B8QLY@%sVi8LDB9Y zx!gZE@nTS$j}%UvwaKNe?T>(6h{6Q-YA8HrGTqaaJ#c}%t^->#LWCOTf zpY1~T{Y{Hu2pEM^?7a8VL&Y+f4t>9sw?ls_OiR*HZxHb0IZf)ql|Are2E|pP{5ul{3gOpdDc*AXay7_>|7D?_=1uwf+N?6P?vc9E0h6-NYKzdBDVR=PWz_W!5>9deNFjMst`>!;m>R0`~*xCNLPI{?& zxGPWM%7z)iF;Lr#=83Xzn?Ot6#|iLpz_sqBm{>v&ZKvh2|?2_Ph* zo?0;O_nHX-iKXMEobr9wOL?$#r1*^<{m>POn1rwxj_ z?ZdxA_Zq;OTf?;4Bx>Ul_-V9P+?NqZS#uS{4x@6^kknz5 z5%uD_fUEF;=}I6EM29wHAlAo?0#N&kr%$D$4l?7R?k7BXN<;j7KhN~>IfTJsFkVel zi({cI`u5NWRh}!|iXAKuTkw9xpcmKQ8xd*@r;_6k#l3?e>^)|n05^x=sPq4!?}Vl2 z-((vCV%M|+Fk?dDb^U^Q7=Fc z><1vE9e*CQllsQGE&Hnq)(4mGvOYIg8j&H@$*7gh*rXaM*S0JWy*H&q&yv}mL23>P z+^IXcsng6>bIj`VBA9$UtN@$c2FBadx1>Iax5$;Gg|_6XIEkWsA=E9@>y7@IK8mS-Oj8*xQ{j9sKeebp_EU`<~x6qo^p zA#5%cF;g$TQ7Q$4ToC+5&Pu~tfT&H+W5j+BK-VNprp{CEd5}A8Rwef%Kh;Yh+bH9y z$7#+$4zrv9b7}f4t+F4h9r!GJ8=tPZ!dW@u;%4j8QX&oW*?S)2spy8U_BrH^AL^S! ztnYCG$=d6O&lBgRiUMjq$sG>m*%uHiC^#T0frx0N=`4nbs@=V@kJbH?@=-b+P%7qW z-f-lZq+L!vJa0!dbs7M}^zvCcV0|(H+MF2t8|o$j<4m8QVy7_xfx~IVbA1lQBZf8M zUHZR35O7xFEi>d;x;pIj+?k zeXOx;qdvLq^NA9eiHb1w+dp9a7+3s*QklN_U8I(;h!xH^eAZ)dH{uO}I>jQCSNoHC zoc5ugg~uReFU@6M^qA6`2K_&?f$)&Lb!KIkpEA14O^4<#4{MniC`wh79}JMK3bwH3)*E`SbYC-iYBb}OUO#m$ zuIcAXm-3aeyURbmXnH1xee`*F;-d0Qw!`RQuBJn1mPGiXG)U+0s=1eX~ z*&MO}L1b%Ay4YaD`H-ZS>9iILwS0$aRW+SzMYM|kb^SSalYKqdQ% zvez@9>lQ$*@mUB=FSJP##*3kqAZqX9I@0DDv!?itIsx`kP_WEcl9;@pqT~*#R65b~s z2g9AcQ|$xD<#J6O9%N*mC9}ayc!f)_JxWk>t|1;DJ?jEy1x!;EbrA@osqbAvYl0hI z1un?oGoc>*e?~3);-Pwc%{fh;`tEvhGd(aMfF%Ik5?Hy@f_q9UF!ac7)p;JvQW-mX z6_iS|soznmuE?i_3l=U(FR;xDC4ov{atiV@1{LD@I*_C&ZY;i|2AeKqR)OUGZzq0G z+R42@Mm_dh3&i1r{QWxda1eiv`gNC8Y|@eKPIt~XEaQ`Qge5mRV^aC;)k+R_68?aJ z1x*@TeV|Fj35c4Gn$n(CC0H3__sEzrXK3fDkVAcJlh#5h7_T>qud-1h1)GYAUz8SH zQW?6kT&C9X1{2OWH}35X1vZjb`+6GBN-kE@?KO9L#4u$mhg{rFQcQf+Z!=GgOo~JIf+4l$3QUxPG``7o6jOb!^g0=@x z5n<^kdMLwU@E`d^FS?wlqav*{tUT3wKQ96i%&NeG1tB)Q93DU4nSUiDjPOARNXJ|P z!QKNm6sIr~)Q_-pEeY4ngbuOcBRC@16~h1MQ_U^br_LpoOGWM&--w;wcifp9fxPUH*49|s-#NEVtPsM?Sf3c74CUN;`Z{V#_dB;C!;`fOn7J~P%3P8+ z?f(i)n7!j}WK`DAb4{nV%RRqFvT*hO0AzozGqp~s@!VfiTg zvOmZI&8M`OT@_IQZ+1j_-7FM=*}F<0Ww&gB2gE~j&PfPc{m-%L?oMt&W7tIZ6XoTP zp1gNxodGhVl1Az%a>Gfg!h0tCox{j*KK;pPO?8IX zgBhxPnP8MC^kYno&~>pl!mAoTl*_^SpQ*kvjo3Rd@iV~hS1==%lbW;t1r8hY);?y+0_e zCdJRwmO@+J%>ou7SUxPd!)4P4Wv~y3i>@SCCjyC4w12A)QT-JnpSxf27E9rlXjpqY1sKzi1Ub(}Tto9TX(qT&%&Yl<4l~;;; zl7M((iP;Hr%7iu>z9=k+by~k;U2}*P#Tx;jl3SZBe1!(?R@^nPVu!+*SJDdQL}{Zj zuZUjc;i%xUrd~=Mg6fsgqzq49$yL5BCIpK`6j@W?7jgS(iwlA;e)i25TLRSGqm`s` zAd-yaFA6_`WwZb<#>mT3+IaMMAJSSvGoQsL$ zckPBv{kGl%mUuJa0x z@C{mw(OMl`PDl-x3%R4ZG4>fMY)CnNh_;RYPDZb{(}CPU&|U`^f{qDL>^hiM_#6q0 z)bW^4nZAOh0bCyb*tJ>PLD1U@E`L;x?$0O}%+Q{= z%-u+5)_=hGP9V=r8S6|8eDS`3`Hkcsu}w2tGwC$Nt_)DbJub8Byp~Y8T_@;a?Ryq7 zpljc}z;33C0w+^-dt{Q4Lk*gP}6uvqtb*W>{@fMYZ>w z3sGC}QAexD>9g`rb!w9~0jVSFuo8{lO4R8&BaHdqAJ{H#=AZ>p^;zWMI-xw^+JW8v%`zWQSfA)z&97r$lK}Oj^QmCT7BfxpuIDu zH9aW3Ng{f2d*^K-=56a0-*8H-d61vyx5Xii&WA2<5JwU zu4UwYKPivw>Qoy{`piq!eN}(2pO5oqZ|p}pjCZb1U+YQ0%=bVWEK29-l|_}t+_lxs z6*o4LEM-uYftWMGbs_&_P1m~&$TrFM?fK|(hokT_2ez9Je>qaSBwTak27H>C?D#HJl*RB9>cjzD?VgOwly=``|B44;q zL0~*a?tmi|^nq@rm4#L#JAB*$PcR&=SZ;l6zk=Bkv_haIuE6|O{3T3N&;Qr(+EgYfA z!7xYtBw;OVz)i+?yZ6X zIa1-yul6Tpgg^NYKP;ZpxBp800cIINW&PIN`LCH_y0^4*p`_fe0?s54Y-4z8&3)4! z!FD)T;gG^8CnN)AnU?hL;=E5l+jO)53MQ6$ub*-H7GKPfHQuKW>$;mlo5a68Pdl!A z*^^LNe<*7g1X>_YQ|s^mhF?>!gXfi7@2DcLtJ>=3tc=(1co8ppl+{R# z`xG>OWQc~jwdqm4NeOXXHY##k%D{p%fAXv$@^%kJmY<{JGEBT))iye3VK^71I>Wr; zkQTVGDME~so*AtOCU++JuJew~zCmfp*&EcTS{MLcd{Nn^_X@%NgEM*jq~|eZoz?S5 za}3-2)YC%H5*q^HuGdoUG}spAMLh&yBbAM0#c;6Gjn*-jz#VzX6}ndUv*N>yV9)h@ zM&6-vj(}-|E?zO>7Uq1LJJEd*zQ_p2r2wRADNTEA{I#z0N*cnx2_RS_R%R^|Jx7V7 zXtq7ItYr~2$;R*uc@%uHF@!godYszVV?)uKYF+3(cDczKdxzzC2nwswamABH+}5#= zpgf?s6Vz){Q)1#f*LA&@Hdxi9c8$YTxu+&KOgvQNJmNoLFZt@^-M@Z-8_+s!$uu7C zwDh~?_ojT+Uj37cX83{p@Zy%-PwRYu=zf!qhFyE&`ZH|p%H3=0tEJzzSMfG(cdtJ= zr{c?a!WllsyThZl98pgV>08MK`G<=s%Z$#R&0ACxEE%&sHgz5a(q-FY@cG&8ec$j3?zXbZwIU#y)>1_0sl!Kq z+5N5OpXTaBmfo`{KW+KEB{Md}c*lSYoSi$|MLa{6S}e z*$#(Y*%=)lcT6d!KR~V))J*LHO=38|$ARp663g;9ubPGMLn*B&q7Ci)uQmU9PVkl0=4l8!gti4+~Fd)XWB$ya1>w_f+VhBR39CoS>sLy{T9%i zhVR^9vLu}OYG&*-40ZMxk69$+!`?g53J&rZIGFR-dxhYdMe?bW$XOW}7|EGeba;Gu zIR6VaU(EL&;f{NT;kqHC&T4+7Jbbl$;ePF%U6YKw_Nv2j`Tpt0@o`1tb0}Po51DxS zg=BzQK=|Zq@BDpXy2XU$y6od%X~k`BRe+OvnsmRUPflBSc<*(yAD3oK?Pl5%D&(H_ zq6*a&d7UqhhWmBn3wkyxsmTKSjPQp`L6fvO;ELQ4JG0>|mc4Vy!{~p32+OS1-)~Ly zvn<@9OwWCQB79M{iPqGm`)Ty_NrY z$56?FA+^{VdHbDj!#4DYrD6~elqzsD;^Y4ExGdPpQ?mA*css;aLWcsETtTDtYGLXE zE_`ik++T1eXgR{c<~*Fse5nUf=du|?Nzwf!DUX2xCSUC6#a>~h-A5gsk}a?ITE6oG zA`u2WupHzk`Hkce%j@Kz^;1WJ{ZP&^&>&+NecyUJr6Y;alE5%kE%DG`yRv^i0L>U^ zd_6EdA5m{?$abC_pgi(j@on1^ADnN2|AB-+<35&E3979m&8Zh-FQ;uwG@nVQuk>$ciNI^u+i9O zqxRe(NLy`L_nKbg{S;fD)}EJ7%TkW4H#Lp=7doh{yEyt*`Oopr-N^~(X4qDH4Zap`rAJIWZZraSwqnfgGds&^yi{{dzzvHqcy|&yF z{SEo47O`~&=fQigvcEW0Ee)|Y3EZtmM9&gM?|0Z|9U3{hH-e(KQ~@ zMDyn{@rX^V;>8e_ol5O`ob}k%l|cq}CS(}wKf}7{`M@8*hsG6(VI#|SZY-vwBQU@!NC)e5SzQ(6^Ex=F9&@v5R#ad&dI?#b$?JGjp*DS3Gn4%iagP z(V20#rSgE&jjc`vZe|2lA=PNal6tQ!d1)a5;X8XPomzV3kr);f&%RhF@1Bwx!09tV z<0{w&JL}6;4UQ2f(w~63h`g@h5?H}5D2R3PTRZ+9=d`mcvmtdz? zbnmNG&wgm#H>aK5^Q4?pcBH}<7`yS&Ei1kg%#s;#t?HNkhJbmZtfiAd%5OnGM1R=V z2BP3U23x)oe60*6%rkCzgkLKE9!7#CXbFtwE>?omiKqA9uCEW%n7!v>|B-l%BrA3 zhE%d8W|nrT8o|nh4ACGITz%Vq)47{;D~^a0#v=#yMj0KA!^{9(-~&%vvr`TYNxVMo zz{qf3$zyING5_#7V~yd7t>X_aH<=EBkBzSYcfzC|43++hjbicvXL$AG$bWqaSOz6V$-ORSH{TQh|H$bkCl=KnE< zCtlf;o`2mH?@K!wRy{F_CU@WkoVk5?I%E>hqMpMD)%>|0Li3_+y;2eKt{_-AS zPx`}!h28uX25?5Mf$gZj0JYQmV^g4QSJn_C1dnwyb|ZcF-Xj_Ik$(d3Nj!OlaQ|<{ z4#?UGd$MVAsqq8m?~T`_mDhQ|^hzPCiqb$Xk`qkFOW*+F-u!*NEmv8GCSOUOdS`zRf@* z;kYTQ3VMTwIT#Fh>RDqRpfqkrUGWgojE9{OYu=lXcLD&#Kclqp7fnwO%qium`j0hB;FWIlpcf5K$#@_8xFTc|c3iL!tl)>0TZM1zJoH&#<_VK=QF>f=C2b1?EuC(b-6Z zlSw*kgMkBo2>uV$F)4LFk3Tu;byD8zg`<{8tb$=VowzO>=_8r*BM*D zyT&7^{M>}9191LR_g!d=OnJk8#Su~N9kG@O($wwPc%}p|;RS*k?R1FuK1|wJg89=hxvLMsFu{@0M`CYrvr1iuR%1GU5a&zEVRAoSG{@O)w+N50sp>Gnn zKw1@f(PIsm7uJ3(_xif-THY-8Tj_@Xr>OjswF z9gQ>g2hZ3<$cQ2>wJnOinn#@J`+FK^L7ZwLti{Rm;*>lfCmSNx`R@|KMfs9jXJ%S^ z^84j8yx$Sw_q%0a!eWy0tnnsgQ)}jC?ZP=&le%b?d?XN*f|BAPN;5DU2u6)n=W-Lf zD0V>YIj);t6AQH6*UUI`y1bGe5{rD+OBd?f*G1I-HHKFJ|CFlNA55k z=))^J%`EA%Ez=fGAZH(Q`xLD)?BcIwBOs3iUXm703~j(}Ho%)&^G(ub^Zn*Df$Wr6 z1m~uc40iHlcFi?ct(wGFvY)m&TFf4iBBXrQ{B&_R{kp;ES&?>oj#NhJG!N`C-YE(F zG$V#qE7QWjLTq1@E}LpF3t}1fbASM)U^{FIv?mQaz_MZY*ZrVj z68!jS>IB(h#w*H}-snz|Xv)r~f~)GbD*Wry1T#5JQ<{pZdQ-`@-9t+$?*7{wr2h8O z9q`O>&B^FUgL)w!IXMNb3{m2@C%1TBAE4A?);V#({W5kXrpmfqiqH2h$HxdqY;z96BSczuS1ZWEPeQsk_WbHuvjJTaWI&7&(WaB_t z2(A-%!d!LOGaHjA=@kT?-&E5wU|^J@!JkEO45gmrURxKzAFB14!|5nFKV{mVim^aW zg2P&Zj$1+%m{04(!3yXWN-xFEb6{?8J1K1JGpn-WbZ;2)HjR+y{vq*U3yRPf66|hk z=(3^>CIo4i;JGRs_~?N$SD0YCF`r7lnBJAhmG!H)nljKSDa?$&@2fM}k8rkW=VTql)@#4*&W8tIW%~-jIi)_hdiq zylii>o4M_|#(r@Y&cX8X?3Y9l=cl$oYmjfhU&vlww{lsu z>ZGnSU%(0#horU=&48!>f(IrMf!(QfDza?u#{6~cXXq8`Y68>)QNzxe=Mx%x0xW72 za`ajR(oiC(x{jlDuh_^_i^Twop%e6yuEC7S!`Ak5KW(Fn8Js)se8Ea)xof}-OVt8^ z*UG0GKwgQ0&({3Ph|hqvbT{S&C#BCTt%4?k-xzY%1q8?B3Vd`_jA5q5BnHSX7_GR6 z^xNF3y^;Z4;ee->$1BXP4y&*8V&@%qZ?qu0%7kzlmw|M|P@)3VZDu_RgGXSaoq(Ev z0ptpb@*9E>QJ2IUSl(AIIfDO-baSA^%hpY`q(|qH382O@f#VFFe}k&ZUhYi?`9J@b z;jQ$4k?74xzXCUQvSsgV}4ii1yyn?Zg)e>g8bC&jTa9nvs| z@P%R)mB;2PHWQBQwvN=<}Aj}6) zlz4uf_*^fTT{4q{fHoTwHmO6+@kbga@iYi^F~JRXk8Zf0;~Ban3gdUQ7nbk8i(fPn1>XQ zHj-Yp$zFbg!V2H*SpBWFI*hzW80UL;0&kHatSKKWoMxx7R-LMsoc;$?)NS~Gt1~jo zY(ZRg=pn1$zfZuz|EgSiZ0qZb<$c_CU*^yN*w>23jA>}@+h?VA;LPsa(;3b2uCkc^ z!}5#UqUGZ@k^vbh`G?ObA@vyacbH@i)*0TX72H zhe}Tb`z8ljuyoOz{TnKG?5wQCy9@+&&L1d^m*mlFphATCbwoqUP)gm5m0f0?4$I2n z^K;Qoyk0S|{MVk-5E2#}PeBkfH(K~R;U%3822-OphT(~^j9fUhPt2~%-mWwQI{N!>BvXbTCa~GWBGK#`j1oqaDmn3^+0)FSux>;&jSUKr5i2+ z>$}rQd8!ix=hrybC~h zq3S@N&&)P;GX>3baA(D0841hk0LbGghZ+?Jlq!Y$Po+UGIg5m_?aQy4+)fV&K(hr;b5ED-ba8e4XoT^csC+ZK28L z7E^Jj(Daz@0m`1^ojb^0<0;uCJe6+ZYet<3nP`}ItIZT(Xn=x#-Aq2F(Gcg~mi<~| zygJiUr(gQ1v|x0Q^X>+CROxqNi7=5zg7e*i#O@*K`Dm;_9o*t8PWs%h5yx7MV_tU8 zN?e|4MeX_kG$M}}M_-hBhD8WbfySvx&8QHPqKyXh_aN_J9Ro=K7`=PE1#qfS<^r%b zpsyK0!vS<>ZHx#U`C#Lh0h}yWiow})scs|o3{28aLQ)M4J^I4=e$7m@KU+9*k~V7R ziPSbsSSb;B`U`22MYN6Rh83+u95e937`u#8eQeRpH}emL zR!@wio%VzSbio}1cHlY;_>|fu?Ccc5z~gPDS}O&x9?Eb;iDU?9&d=GM(Qop2l9eNC z6P@i8*^ltArNmm3zEPICkt%$gC~^4oww_P)fB@YqM=F$-JM0}*t(q&-bE5L#0nr%2 z8fv3Q?CX1a(dIDZf=F51EFv%@(+McnwNPz4Lp`k?JNnygFTe+(&RBd`(t(Q+UT?mP zAFad|oJM@dgsiodw!5`USNy;O+b4kQyeWdZ8^WXOGXpjm}RZ~08Z&mcvh)y9t4e|~k- z#t1n&pyRUPxntIMWe4o*GWwMB>6)L0c`a-0Q*%F!I}QPC(*&P$8%FOWe{oO`YHbM#i}pk8Z95trrF|dTn%f)< zuSmbi9(3~n*4YFbfCEt8L{EY&NbZ9Iu?h4;_|z562@68B$LsPYRv$8UTjyNB@3pU= z{QLD?HvIj>>0ZHM03q^@ZqsHj0FW*L?An|ffJmdvRN&FeI(aT8Ba@JJ+>qX!k4JIg$#D1?g^Rxqt zE-Wj-)zcY$We6%WtW^;Y?b&<{2p(1+0wwL7HEptD;H47a5!m*E+dyKfwipEddLKL) zvW7?^trAR*0?hV!#@X6s)>Ze;PuWd?o!mZI0j5(2!ee@3dI7Mn&Brsc@nKlN?-a>f zI^i?@?*B{>-Kcd0RGDK=Yvza@@pQA+>zX4eZFc;F?L8al^O9$uoUXlJI zrLiSg4n2DLvxuAd$>OWut}cnhl#|}HWLzL> zV+Wf%-p`p90vigVjs!H*nn`AYKcXqX;>K^MAhA6~0MRn|oWRzW4+NrJ!MHuEA}{$3 z_@qKBH9Yp12LQ@=$JPx24*C4awNrMjA=9-jW1d!r-v|I?Z@Od`U|!bl6#eAL>j3kr zN1hY8>#~uXvJhX9mwkdrfT3<_(*nF|@2lCO49PtJ#4s(6fs?4Q`{wvS8*0);jg~z89iUz-|q6@wR0pV&G?NQ3O+dfRw)4TOY6* zS7B7kuvx1&1AW7IWA4R?Vo(4l2SD7GFx^Hk#i<*wJkz0fdY}MY8LYF>?FJA4By|1| zV0B0S`5}nkl$oj@&$?xH0Q(u#3s(o?WO5wjTg_fUTtFGD2M=r@U?M)J#6zLMIjyWEv_9cP@!#9dO z>a`VV5Uh={6YmlniV;1kB4K+j2cX_)2Si|DQ+mBgQPb&W!Gc|`mx?0MtV#d9vtuiH zAIy&Dxo_-W1w?I>)QtOk!q{`-o0ho(lKbk?h3KoB9ia~K7i~_;VM2lePRYJy{(CI; z%Q|(wVx%KmpRIZbP@|Z+On-rz-vcDomX|tnN}1U>dCk}O7pj36MX}Kp3*c1t1xx@o zgGsWId?Uq7?E3&9@o0Jkprz+0=sMh`oC0#j zAtwA$Hmc2E2PzAi$x7JL?Y6b#+FKGc{wQKmv77z!r_{1y#Lt9=wU*;Mns302`G8{J z@>*@g?BS41uR-m7vhc74-lS%YQt704#${P~Q7#}at~2ntK&KpW+lAP%@7@cKBkFVt z3<;2% zTli&w`8{BWCW&%FcIPGQGT!QDfGS74XSVZQEhAT70Ja{|Cgucy%)pIH3HV*#yU#o3 z9-v-Txf-~VE&$Y(4gG3f@;v$C9k#=h^GDL5lBYVshTO#S1g8ScKku}G*nI$P9Utcda4t3#0IihvU%9=TL^TuXt^zlZ zA#|`!p}jM0n+qC;bn&TJZ2ah;2GFtt=x=~GHh&PKIZo6!VCKUIsQKsOmGNPvZ-lG` z6&)8x`YRWxz!@DT4FYxujWru3v999s$-#rPv6e7V<)k`whd44B;YCTPkSfN0wfy3C z?Z|+pS>r&a<1PL|VHo7#{;ZMEZXjz+vwHK=ugdcJ#SfzEzq~y>MCx^7H*{LC0{2n5SAe~l zeI#_pV4YE@gc5q(p@Uy^SYc?w@~|M%?KGVlP0opXu56ntO*RDM0F@`b|$OoJjtne9uGJ% zw;5e=v7%eVoWd_?LJs3ypyTerWA{z^Z~-f(2CT+7QNo39va(y*vPnvKPlziOaQJfI z^+6DQ<@GK<;MDAZ*FK?rFXRrky15vp0UoN+*s?oR`SZsOlSq|3P9zUs8^NlLbp9Q5 zFwHcq=;^=Lcwp0pnfSGz3q0RPi<`iCq@I@;gG*Vd4Ezx2YI@OrU6L*wPGW&-iKx$8 zNIN9@b6PpTU=xV$76_A?tr37pTO>8*E-%69Sy%TbPH2iYz${4bw>`p zGde#s%JcJr>cQ~?zE2>%I>Cy@8~oN^8VvNbComL4Kz=~l z>s$_faw?l>D8%rkD*YL;b&arfxoM_P|9Um^C;CK4f?WackE6z1cSY*-%Ocu#kqZ9P zrfxRl0L(}6gszJ*H!O=QXwO}>=Xg8J%4N1F@(LUCi&16e^Xa@tYI6zhP+J-7i*6+O z>s6c`!t?)s;^J%}(d&B72JmU9bJ`S*>WpW6Hd$j`GO^5+Mnunx?Jl1_&=4Eblb3OH zr4^t~PVe7J6?$AD0)>q~&V4K_h^(9MvSGJQD4L&pyn5e%9myPTotQGOOUD7o zBtpq0%iZNEPYgPx_#(b*2#)z*IwNH28{ zszZQMI) zwB5ejzH!=oo2PNPTV^k34K2G&+_;X?cI9RFz<2$$TZ*P~_?o*_?)k>u(oo`YtB4T7 z)I{NUa=p`0C3YrWyWu2jIPo1!LH_Ty{X*VV{!XeiszKzd~ct>X?*QHxR z*-_mi;&`MRBPF1$NnR=95y5GeX=J|$*Rus}CcehpQSxTqz}AhPvJD!+e6DFO&lzFd zOG%vgR@X$#zWoL$kp2r9RHU85!U$2Aao#2|`zhZ9aa}PAs7ZH_1&`@-b?fEaA@r4m z$%gb6TNme6vfUN9`|Vkn)HTs`K1LBay+~!$JsOkNc<7czyJXDVCA;pk3`dYqJ*8a{vU*6KJp9Kt*RNe^&sMEUOrW&rss^Uh0FJLwp7R$ts2k~*UNSx%y}w5J5_Dn_ zV+F$yw$`$a>taq5z?noNKcC)fjFboEO%pA`g|8%+I=?T90)Lfq=;PLCv-g5?R~hZB z$Z9v%aIW}NN4Z*54oT2J%NRf2&{_+^+sggF9vxL`sl6U=LMvnFzMqcRfhxmiD%bV3 z#R^xmmFN@O5lnf>B^r$G=s!81mm1*%aW0U@=3eP<2mw91<4X??y>ZQGAS z&2`<2gqK?ryT(agZDN7@OV?B*e86+JiyEI+s@x6MjmqJM&>b0_l&bE`_{AT>+d)%q zUzqGbPcJO$NRVdz!o>xLo$7HlN%QzI#S)r^lLi4R>ER#O|;@r2(KJm>1qsV)VF_HMsh2^B)@ zR+BFgX1F^r-&~n8*_djt;qQLOE-1-&PqV%y(yA#? z%yJ}3(D-Jyu+>SBf%9VJpfxCeGF)C0d0Mm4lUmzi^>D;z_ch-igo3S(xm~4rQ^_Y% zeAzaXs$S{6TYr8BH@In%j`1N7VuG4DKT|{0<7iXN>=8bM5VEvfcsl{x`Aw%i0Y+qn$rY*&yQ{|c z6I>w8$X-vqrW_r2DDS?`J>eRZ$GB>4QTE|U&(`i&M#2vnULn2zWWI6DItmspYPOn4 z#A+EGeN+&nyM#Z~n-kL7Eb|(E`;r&ZKxA9%L|t6tXhi=b+#7w3qaGT60s~Yog1xYl z2TqBJ*7?G-@4U>Ps}o_qHSImwTW4L3j%!qlNwM*5OC2H*Fqe(D7*9cf>=JmMqI(4B z$t8;Z*gXF!Gu}s8#NBWuj+`PCbM!wW$5vkL2JB5Qpv%!*rQu9|T)JL0rY z&b(uTHzoV9ck%ZhNiWu78&g|WzBRDqEeG@MYvYaXh9<@S;W}-r-)WQ_f+%L^T=N_N zSJYp>TGlyJ5Q8ZSiLD#g*fW-%OgYl!^uCUqWYD;p+3LosWv;6K`#t4AD)aJeu_x)} z`4~S5^QMTscNRR+Zz`4kysEBq8@t4nH@v09VpBfZFhHeNZy6 zZbtTpv9k-Trk-O!Y_XNY)W5?gD&=C_KJY(0pH#MAc1TdN9D`A+6Jzog99O>e>YQpo z`LQ?KvsbX+OF2z*`&}Otm>ywH+oX~TYu+6mfxisC{Uvv!8(8G8SUQmAa*sq5T8%pf zPwYFgP7PO%I;4JJXe9D2Ex+~CZ@=52`%Hr=&yy4N$Q{|IW+8|qLX8~P$6r&zXcmnGE7(xP92Q<+mF*ROjqMg`8SiRqFKL?(B#YCcpOcV zu^0C53ecw?0j-{_?xttt3ha$KPuJ>wYwHx(tw8c{%%RoymPz-vPi#9qU4Yz;P@Dc9 zkg-K6d64>0R`Nr}z4+vZtoIMguTg{zDWmG#i6hq_te|E-bhYb&vSRLNH|x*p)#Tn0 z{eD!uHMOwf06pVCRFRI@_T^*W0=h%oq|W6I=wfVtUj;!LWo8z5{l=Qf`y>kUqV(=?&|j7BQW(X=YoS1wUI&`J3Gb>V!m_v3g4>6!xjw6 zyn4+7A9$H1XNYHM{N>M0N1MyMAk!P?C!x+HBurz{BJi&d8t|c3%_V5aUa53`GQ2!T zVr8l@t!YJjEi@TEJpr6}fpL#JW7@F(JD8LM-aIAKJvq)~tbojXTdx}5@w2Cq-~-u? z_5b_3wBJe42Jc7Q)p>}jn`jAp_IGUg4Q@C!;*DwR^&!0?|2a1AfL?Ri|6P@Vzd_!K z7TvdRqG0BCkRBnXp4N+JL}eDj+khLDiBlQIDF5&M@)Q2~pP${Nc)J9qQA`L^2DQ3) zlVwYj3Xn|P|He-mj{Nt3kFv*!K=p_*Oi^S|?QsS>8`3a1tfHe3-wv=r|8r%T{1?P& zu?Y0)G3m*ZUXzI>8?u3iDrkUUrZ^j*Xvx9(kcd@?!`Yop5xDvcT&l*!vMhi&U&tow z1*B+ubtn2w&~CA%MKH-lR`DTiD(n@l$Es{@Wn6X762gts7^sxY_y6ggC6N zww#(IM)ha*XgI=Z@0NQ~W>)Yu%&O|3O*Qech9B9ahH;$BFgdNCwF2tpED^>6vmyK@ zn`O0JpmJ|o90IvH2F4+w#`a%$N(RG=IjK1=T$b;WH0GB(cT1_PH`$)KuoLO#T+mY) zOT>qEy!9{R`lp}9N@!+EcP}ytjbd-Xm^(Nc`-g|F(sV8-|0*{^|M@3+(>+*9_WIzv zinakmD!CqKm@xUt<33@)K3cAOD#@OZJWFyje8AB=JYs&*Yln@cb12O^^Xek!sL&uW+o7V{u zkEDE{+u;T%QnEw6^I%DYu1Z0x-7d4p<3YRe2<9YjW!!#UpF4fF{B~ON+oJaG=WWz9DA>K^ONJdw{$x=C?!!A<{rp&6`R9l|Yu>0#ny4psHzYgq&_@0Ml=z7X?_)fK*OoAa zhcOYy?I$BZ+vF#wmK$qKI&0q*WkqTLET5eRW~kNs4m>-6gJ?Ekm7R${@ZlR0 za|vHVM)ArJaKA5kjj=GuEa>b;$C5B5bUusul;(+0Di-f?p|w%fG19dS8ufSN`?zdZuZ4J-))VUL?! z>}&XTtr;SxLXMJf9V+`aVG&L!aGMPpLC@ z^eirPEbWE}{9RsMPSS@l{^$^Ho+IMX%E%OZtojITiM2ygNF?QoXSpA_XLeCrGE(st zb%{yhVtfkMd`_T6Cu$wSh#F|zr4R8ILA|@s>x3MGv2|*iW#FnrKJP1$8a%>@R~kEI z-9uexg3k_}%^7jPg(@tEVfmhiXw*G6V73%g&LV8#*+4TO9xW zPRh^ZNy7!nnQ!Xh?xL}~^F-lKOR zPNDK^qhgqP|B3p;Cq<_0n-%z6Z{<5WY|$&{$u4l+qtPd4S1%7GJy2OveyO~5gd*}W zF}m#W7pr%eJ&jb6UXUolslvocw#vFj+2c6~rWA1+H}zZ4SBZE1Bq4p*eexI%CjCTD zh5N$5H*mOqb*|^ojT(^(6UH}VPz6jKlZW)wbxriKKuoR3|77frXMZ2EyT9oe$$qL= zP@9?4e@tuK_~HL-J^LDvb}Bt_+h}r1B#P1_3x}_~a@&r({2A7Rh}|9(Y#Y9+W&R$M z9oLBGCF(`q9)xwb>m9vpD3!zXKps=yO4~ryq65uTg!oASv8&o>(2&M8wSJ7r z)Q0sS;aN*6R{i5or0{K2(}FCk_ya)(;lMh-)@d2=^M{g&FPMrUWp^f}%_|5gw>PJ( z?|B9lsO5wLOl93;cQ)3?;gI~~o6LcDorWcCwNUQmeAsa?zl1ipLF&6gyEAgR3N7=& z9{FJNMIO68)y?U0w)@xbu6l)f?MeFPmQPXXjZ1OUld4V8I#&j#dWE=4K3m0g6WG3p zSa4>$hrm4`+5B{F?u_yfw^A!)B3JG!h*GF&lAD3e%LwFN3Es|;(kq+ z(dt6~DFm!nLl@@7(aIO&CdhYW6gDRO^?UrUPO!dP**KdLoLu2Q&lj$#v%})TF!yra z`-MAwbmet_Z<$8?>$#!;Jo;oOk(l!Gd1aJ!KCfUv$odldNj`us0R#M^uZo4(ziAH2 z0n8FriQf*scvXudIxBK zSpRNlT!}l0u$lXEPo7FU=p}T{lB(mpkPK1{sJtW9o(gcX=dP*yr(AofCj~E68Xa^P z3Yz#~ZHUP@)$mmkd3xB7l`#|%VcKR>n|YRRG`02~?PS2*5#?+J(eKM9`UZE*=3EIY zQaqn`+6KW}-5t}a12{Z-tIQd^RfWYd zF{z8-U-|*u=Z0#}L@bWa2J4L9n0nKc6D|BO456RC@vEfz)|gu0m);*a0Ub>5CgqD! zPT4<7bXv2yx+q?$=Thz4Mf$tkG zkW58Kab2(9M=`#@PIy7&1-`h~@h0~!6K4=F`mRk0GjQj)nnPO6oX&^aP|V(FzCAWs>eIY4i;9y)kW`;}#*^3QfmRs(n!q&h^=bhxz%AwBDnTnkY~jrR>I$oL6#B_Hv|pBg3Y0Sb<= zX!Olx(#;Q;rS)NjM=!QgM~{B!;ky_RIw9Y%Yg~|`X;%CeGtQY9GN1Z*E56?zFZn?6 zDAfpLX&F@UFiNL#+suYi6si1Bs#DWM@{;BE!1ndSad_e@a7FbQmR#hVmEV&t?5E8} zfxBaC(WGOQ-3pQz%V7F`CTo-V6NGB`0{3IID}4n&L2e%Y!FCF@GU1eleffjfcxPD) zQ$1RYywWEmT2CSWX%LZ|^(pBS7tzn*FAneX)%u_E ze_sUYWoAvp(gh`a1JOt+GlI+}l(V+0oaARf4gpF_OO@Zfx?#6J2;#-tKfb#~`P7ma zrS+1~yhg8FcBMlT11>p9&rcbUmh^a>S|_GH2RCgH98PAKPnyYN{`BZ9G8-)?5TE-{l1#}N6#)? z;!eFxa;>t0xr;`WB#f9|I7b^1=L}8weC00N(?Aw{C?UXUfx_ivr z+jA4x^*a&(Q}dQWWzR|UqYi6$n{z0pI8(nuFY%*-Qo7eD;c{zHPL1DR)o{GeE) zcl7r{af{~ivK&VR;{gpVYU36zQQdRiyVeN9gcorW0hLG}UV5jY>K#)E)IYQmz!y=s z3U|m^_=-Ju^yO zah<$wI<)|Zc=IoL!ixWLI;g7J#+e#X)m_yq+b(u90(D50GAQHCo}`bCb{AAIXBWK3 zx1v01TrE?-%H}NkDr6=a2szw;wfJ=_F}0iwZ6hpaeOWVlj=`bX133R?wh=9T%H%JU z#QYr`-wA}n*CIjU`z&vM_(;uo=5obb)axCCFQznPc<#K6r-}PHRp%z{y3ik=7X192 z=KGv%_lk3g=rfc+{p6vRmOIz;roUD*qIQDJQ&MARYe%ScuMZEJPWeUZUbQHIFWvP% z)%j3DC3g3F#pajo&t114@cXMLgx_8eiZcopDX^cU2S2!OxT0qfo{b)VF$JNi$mg%W z72k}!+@!Rpf`8^}llr>N)wF{@X)92a2mb9^pUvy=OiT8F#WPkOb7L^l!Ivht4l4AY zlZuZfKbl3Qk_`R6b+7b;*oHKXMl)YonbiDB; zPN9Zy^;`@$9Jww)9L#Q<_}P>fK+ZK`rT8&*nHgdPR06U7%8bxRvkPCVwvckual3Yv2(FTUr=V z#pNibSoJ1ZqK3x=nv%?)Qcg&R;Dn{*df2@X@KH_MBbRdQ;+%l2%zy2?V!w>33ajL` z3t7H9q`A=&>q1s)|tYDm*zp%#4QOt>8R-MUWu=rkTw$Lng ziU)@;J4O&y7fBf3Zf6B>Fh66Q`cBUAwWlEbvQkB(<|0T0IHL!smbBl}iIsJO_MXLl z-Cnd;wironxH^**hH2x9?+O*<(|GV+S;r(uCo$`Fi&3BhYI^b8v8-F$1}IK}eV=~G zwC!gwX?#vnlmFFo`+HB(y#_b-{~v_A;0?moh3Edu3KCws(Xx z0V!QUQGt4`y4OD&xnH6zeKDl5b?xV zi0r`qAzqSbQMaoEn=ELaEBRteq*7~RgV>Fyq}vbuR#y&lE!;w6u&j2+%-wLF9mxJJ zS2RIPmUiGYSnq+9eRp+#YwsO+H5IGaNUfd>3Z&N9qmoW)BGGL1BIw}V#1oj5#wRD^ zZY!N|mt&7fQ6y`9ZYr4G*B`fez8vq9TCR=r$yBg^RUX$f0>8;M58aCi2pkfDj6Xy_ zXXSP?o{Kvh?3>6n4892-44TpSvk1=gqE0j}dQh{DK@?`QLJdBF+<$ZKqv^|~?xAbm zHHw>wj()D+zwM>9WVFupN9g$IO7uj~)ldBJaM0UG!zgjQhXa71s7H zEXPBw?lX6a-qI46*Jo1UA7GvX+mTgR#@;lRM_wSWPhBc!Hl-)5w=69Lbz<3)!Z4{pTkf3$*1tYFNFg z$w-dhF^Putt8%#U+HR6X*!Nnd>BsJ&5gm)LUQD-_-?0ZPN%((?Yv}z#CH}i^j+uuJ z_>bF~mOeHv>ud@IIb?g;#_+$U z-X=qPR^+|*Syg}o;DF=e#<5dd_~FidUpl6@&_UC$ohGj?wfR8~jCkKye=2R8iGFn< zQTNm)%Fx%-MD9>>ow)ozny23DrYg*e7iFDGkl@F`Ukdw#5rZDAYN-q5iC3*t^i$}F8sF(WyeSu_akZpPEUKXv&rc*A*ZE9`4&U>`OP%16qPCQTV= zbn#a%%OuJf8XS2~wN}X7x?>mdDr0MHsJV79!Z+O2mqrZHHBo>K;fo)qC|OfdY8{QG z<%g%P6YoWqALyZ_1ptGG-&>MlbtYnk+;e1)Bwk+iKHt2Hg?!aK?nd~+m42l9bm&8^ z#v6&H7(D+AV6RS!jyPIMIw_r$+T(WdDfQN$pv=_igiE!#I92*1YtzNw!FputkUv{u z2b_-dT6hm)(}qA5`vy&Bi?QYctGDkt$|;Ynf@hZET{E|hw7qUDY?1TpsNO>k$iu~B zn-f>NERG!}i@kAOn`hma^+zwacv-HqYb`aZYHDHvI>!XVnc1~!OA1Pe2bqUCQ`AeQ z2M07t>J4(PuzP!BxZG?0P=`BSoWqV2_djUUE;VjHcbp1ZsSvNdhy|*zo$L7d*_7v> zT_I<3_~kqCm0LI*=EYF2K;Z1GlMLW+8?@6n^0bIMsce!Q&63(Y7V2P#e<4*8bG!YY zk2Z(AUJlKT$0x5kf+|)j>PLsmgKLz4)fgP$-{*#*<3Loab9r z{6jL}b|VzkOrviPz;Q-qD>~BBVbcim=l^t7qXu6|eRC+ReLZSEP!@#f)9)6_gZ-?{ zucGCt6ApK~lM*AX$dTwO|Epmi0f)0Fy$fEBadi{>Csf~ty8C+o-kJb~5PPX|!}UXj9+BX)QG6Q$su7=)+sinD9{R3(1GsZ+GF zkY8zl6O6^26@J`Sxqjen%T&~(v`mrlB7lUEa+@XIKJUva8IY-IT=@#0TqzJ zUaV9EC)+>oP1jkutE)b`c>kx;sn#392(ND2AVU2eT?N(C-PeKq?lHLfP+BFP-A-bHNFqe3^ey2$FE3In#lVb6`#9{^p{MP zv#55Eu`hFY*t$y*a zCIVU093k7A8G6N}D>Pgo%r9p($kypj#E4PgK$mg=IB0Dh>8srLx8ePt{+=4Z(J(yY z(00ONeZVZn!Qmc_H3NNPbq*FY{ywy+L@JYN)`p5QsiF=zg)cSZ3!N$+JYJs@ZF8HY9H?*ueDrzXO-3_PVE(J0xylEJX&jYT?CuT>69 z0-yVrF`G*Rt+aF#?Q!!k`{^&Hw|vp$KUfh`F7~Ldfst6%6VByntDNAGWre5F6VZV? z(uRUkD;%1#8R9bZ*E$w3AWaKp0L{j9J30#w4A2C<4<{P#!>D~)4=`50zKr!p2_Epa z9Cv|FUCX+)w0iBB zxb)PrY{GSC&$(9>?MT<;Nm8qwe`A~mUZ`I3j;WDGmiX!x0vKCavEty(ghp+hJwoku z7iIteqfh*C`Gj9E2d5ZWxJ;J!8jYh{!RJz)(@fdL|LvtKkiu8WeL1Z@1*~#E?rqt{ zn>+cL$Q9l@o`3ysMBcI8#K_f1=jBvK*PtPHgv*`AHizwbeiy5gl*hE-w-GsbK->#JBeU=dLA{NcNzv;=IQ9W zyHHes!1g$f5(*S|3bE)F6)~2jJ6q7qrvDK4tqMBt@o6chTjiKaE(|SD3}Q5`gq(1! zq4$JP5?oEz4E}{a@HKZvbLd1L}dG|FEB;fu;^i1BiFgZ$EUm&^53dXv8aEPCeJ5 zdo3kAc8xv8J#F`J59b<0;avd7r#dimyxT$iM8&ougTSXL>8o#Oun(SrYJiUi(dvm( zkG^`V2c>lt8^=sAC6|jTSG+qMf=fIUou4HuBtO>uIQ}SwI<3@F?$GF}9F}D7T-n-( z=pS|piJLc)fiD(fmd8e=i)$3|nEi)}53|g04knbraxC2zrxw-Rd3bAnV$ok|#kzPc zj`yh)kg%JBwL16x)iH7p=`FqbF$6^aVRmq219FpdANa2v(x^=W_%%D-`N(UTypL~@GWAsg%vbYD21QCK zinl&t61TvUTthPuX!5Ch^Gf#5UFqtQMQ}68Ye5AX2dlqgi662u{MZ;l|?w-SIWkf!KKfKr9Szv>x;1lXg6?!H31GXahgZNALbLv z2h0FGcOcW@XNTdP)bc)N#bQ!7DOhn3#Cq%G>7d{Hbl3q$xXDbtvh4hpz=s!Pq|*Q3 zn!XR@MSAm7uyenxv)rAP;-Dl?p261tuCjfR@960BaXqB3$zW7=B?V&bq1b3x4qCtU z(^BHp%81*9+0pNDixg=Daj3I6fE+&Jcq`|Sz%0i~6XLpQa$Q?JB5&;W!<)Dk+s1023-RO8by9SThtsel(b5WwWuv>e1GUy%Vb z#8V^k1}+~7T&XN?AQlmBhMTKF9((DVluP`Z(b2FHP8n-^OpJSBA_aDLqm-CP!)BL7 z)ZTZj%z9VOK)n2i(yGICO1Bur@s`x$qNIjZoxYcjCY-GypBKaktNi$t%4*->_Dz2N z!o%LyxgmAmp3D$7kLpe7l&~Er5qySgrl1AuR#|h@IhT3Vy?I({9^x`xIqXRsH9mg` z75 z_S#)`-W8M6nZ^4hwSu=-CJz#kyOeJel2@c#lBsvp3VJ=H zyH+SqiIwyb)Gc~F9TA=isn~cKUUTP9ABa57mdKW2_jd4?8QvpWMkC0AJ+gXKelLwnj6D4ggy zcz1q;lZxJQepR2(v|1(la1)=|hO5U8euQ})XmnwPL*?x;pD7H%b8Pl&j+K$r{+lblybdQR&utg9u? zvU{u1KE2T==F%Dlu_Z&O3ey6r&G-HLCcLgUY%Cp%3Of+xw+F`La}sfuJ<(N(u5nzD z#OnCTe%BS0Ws4TU5rw5h$@&U@pNh!}VzTaI&?Pr>6NYOpY+GHAnC8gM5ry33z$JS( z-#%(wDXfitR7kSn<3xT{xSvRwaF<%>1XB=Lb}0w%Yow@~T-)p)w3cxGmeA#%b@i(;q|7)b zB6%%1m+0aaG$kL!l?5pF6U;naX5L!c!J@uTMSZyLzF&_=NVAzGB#u{Rn{ifx0j#a`BQBBDiua6yVmIkL3qI8BQ50H#ylu8>gNBd{8?ft`h znu%gNrfTPx+dh2~SUfDUZB*ojysqI?y}7`rsLhMMqQfM-Po%IkD}y%_?ANXDB&Ld^ zriWZDWS0=s@wp9acO9Rb98cM$YYhxV3S`-OwI z!sQ|CTOKg?CCez%04u(kgs5GI6HLmV)NW>A;TNa%5jklH<{%EDJ++wxSQ<>O`B4_2 zNY=!#jltv@L{2SZ)f?OxMD8_!P^wpAo5L~yMap${CeF^?B%P(m^RRP=F+jDova*@% z=Qh;7ck%VjpPmFt;0XW{Crj2R@XNv!ipkmWfKCNpzr+P3z&^fLaw8)%8vzldtt2go3!zuLQoAil$D>%)q>*keo*FusipAo^*&UYVp%GycK44zo7wztX& zM1S7W?<~0%Bz~eF>e*&8YcjiORJ49apdo!M@DDbp0^m+QytwmbP;JTfx#x$nQSzFM z%Yw3XqM5HLFJ^zW6BPKG#_tuFZ9HHkHTsl_nRwx$X#ov#jt^7L;Y0Q)6xsNxuAZx& zqzm5gr5;#<{sD`*U*kFFV*nQv=SZ|AQ(~B(e}7Q+)e$C;f}vSfcXveu656KvM>;nG zAb5|50|G;^;8!mf9Ta`IJZC42>aXa&;GE;wBq@S+95DHxOEj>(d`mFe4kR2cSkL!> zLOBR$&jHRYfQZyYunTa_X&#{pOeitBtkhv4%`2|8>%dDbdG52DCIqIpmO>)7Qd0cK z1(Ec24ZuqTMf08HQfu)I1T#`SwK=-06wF8gXFUE6-4Q!HkBm4h?Y>>E1w?p7vN4fO z6z@o?cM8dUOYQEM?{swJDxy^u-YN!IRJyY}+?yz2NP@_Kug>V85{w=X1gI)C!e8^b zd<%JHGI6Jy$Hw9#{s{sDP6=yLvZOF9tN@#2wYrIQ0z%R|Xq>ufBsDaV#AcDfJn};q z_U!p2&h`2h?J2;YhXmnmLq>o&j*;CaO5BZCg@JNd^ZJ0kPB--Km(C0z-5hp62Qc`W z;BjgAKtSwEdHtA-bmDee2rjD1^qB(2dG1!u9p=0KnIn8!jV;6&GuAN}+xs}b|NCC=@4epZI#=hq%$RX~pXa&n&;7aY=kw8{ z)(>C}ckE$)$LrtcC{1F2MNMou4hggNk_24>s)3T1Ux;}hkmI%PJt1FZni7I4U6nnA`Z-o28QQ+NV&||ZF=l`yznMw zAz0`QMpTrSqqnsIHc_G!M?g^+Xr|viUjOe6$@PahTEq7a32}eZGd{s1>pk->c}}4J zC&=3WxIjOV0Y4{44`>V(bJ7+>+7Zm;PwmK(I%#{!v5>ffNXDMp2!013Rsj2ex5rZwm}rR@fcE$s(qdX* z8{!R+`Og6|RGxFCz|Ys!@RP+jorNG5CxcCSAn!Gs!$7lJC{4~x1LdJgVQd`#Bcw3e(g`{;Ni#YIy5)73HjZ}iBEcx?4&!@_|F$fJ|vsdvgv zo>YBV#)$l6wFG56Q!-cU#xgP21CAlfEw|nw25q{!1OMt-QF9T?UG{Vf5FM7P_6*r| zjOaSnU0a4BzwMMdxfQz$s7o^1<&TQyJM&#lL1FX{ z!M1rl%5L!9RdYgp3fOhog>zj*5=x9u3v3UlMcoZY+nHQzBkVfy&ITRnm)~476@R_L zTX*))AL$uYQ4Ig#SzB=Fb!IzQz2U-XFO`0Ox`B-5fZ8n39v>5pZr)xH+Ia|;vyUHK zM-Gv-ex33Lh+_doja^0a;HWiz;3AmyQ(xw1h!A+l5yIQR^m|O^t>KZyEKjUnsB<%ao6_Wr>7(*XrxYKYt9eaXc?+vpvm}2Sa3@%N(9-F+pTOwV z3VWtE(48DG@bG%jRkd;H3f)dv@BQ#MHda1@o>qC41g?TKA74mkxv{a$y?WLo-SH6_ zbf9Su2a-tT=OWNjky}!TmICe{ zh*~zC8*CmiD%8{XR{GKW?#1fc&y`92GS@S8bpL#8dTl%3_arWc{T&QJA34`#JVaQG zc6QNOj5K?5rx;doC%V=8&~4P~A5?(3|g@OrPCG6h-()LQuQ78mZmPB|X1mlz=ii3TqU9b%Um zx1%4)%E<7H3P!4&3TcDuj^n0pi;@^)=Cq*swb!25XgUJ<$q5ZitayMiv?hrwdn?)# zts-|0n{GMq?VCBvgV#ZX~0KV<>AMC0txuI zgk*G?61W|>FOSF5bb!ulov!PO8A|2RTf*lWU_4oU1Bl;lODS=Hes;YyA<4BeT&n1p z3O;u1WPcQJ0tTOUR%80>*|D1vh#gI2<2uBtp4M@nec{eFDV`8nUFJFVJm=i!`q>!q zvZWUtHwz}oG0@xQ-ZEO_F~8KSv2SHAEl~edzlWGuc(NIx#qV~#fBU_T++{oIzcZ?W z;1mbh94jFNmn&T5YmL1^?^q%O>L#G!Ar z(zY@Gz>`gzK<7>Pbz50mr;3T=r{cp zkVkt4qM}+)wtW}fc_+X&27l1U?0iC%%8T ze$61D)&bq}&dm)M z;7yD;b{jdWT!cRpvNjICLEOHv+u&HW?3FMeqEU%a5KG8uSq~S($3Oyk&Cpqn4R- zr@GlcUT*}=n94nAIoA)=6{D>5PF@rn`sWgFaDl`ztGYat7Rm+oS^Da+a99o2(2gni zk1VH^A{bFs)0)pn)!})9I-ShMc27OJnR#JPq3a#kUSF=d1pe|?hXoDwE<8{Kyrdt~g@{7-#CJJo6$DB?SrjRJtF1oUKp z-{o~HpwP7-MJoK<7Pj2^di}euM&%x0aQkiJ4Z=zrAO)APYV3&e>OtcrXuqIyGOTqg;wu6l`wN9`NU-zZ9(0xqqPkHcY_Z@Si<6 zyLree_zwZ%dfXn|Ln(jm!iS2*8HMquI{L|1eWFR8vJuF!GE#k*W{N%2T3l@`Gb-mH z*6>6sO^wgGSfUvDrz;Q=0utF{M9*N|iMA`iz=buiu~W9Hp-1z!fcjUfiFN%KE{wOJ z?2iWTf89^-`As3lL9hATi{S?B$DH3TJ&OCs@$%rkW9Pes%!aW4GK*!lxrXJopvZ-)uB9X`a=&Wl_$VoNBI1ul_7QQWv2uDL~NrXOcIoi&6?Z%B_@ zkxx^BBrDmDp#I~>9Addsd>PJ*ga%)k$>7+@sU3}&HwOJ$J`1Z*UM1S45W%*2!V&Dl*ffBdHr|`1B`Y#2o9 z(*L`XZ3(P4LJFl9av!_ov+)t76RhH)1ds4FCoe6X$`CpumW^P5hoy>M*Z+ga)$9UH&X>Foq&GDC;$h6j0$U zuSgTpFBh>odWufgvnwl*D4akn550C-DM4#Uai&~tDR(Mt0pht-%b1(X757c@uu4v7mNtd|B7`XL_KW^LAvx zPJiiFzbEORx7TZakF>fzqVy29ewDAI`V@UR*1PY0-PrqL{Zm1Qi&uLr>w7<@puDRF zQ@=<>iVEjkS%_i^28irC`dewju{EQ8bkVyx`xS5VL%cJ3>8d=NxrZ^#+z<@0%zYL?>`Io@4O%D_B03x+pv&K$qIDJgE?>+pso9Y0 zJ5BrXu2qdIY~>h0MRFx^UeF>?vYfiq{`fHa!E4OcyI2-mwlgmEmP_&|R7WZl`9$By z-xyaNbq33F`jdnk`zp77tH*=txau|XYG7KsSvNKccnOvyr>0q^Dc^QItWMR?wVZDG zORtHcG+xMLB?Ypzm;BsjYds#1p)1C#8C3bbRq6-$G{S(t_^A_z-^lT!P8@ERd(1m6 z?S&YD_X;lfx4&RMJ>8y+l7O{GJYWm9V%uN**7=O$lc^-6C_IM9F!16z|29^-E>PeA zZN@=sUAkU=zl%cX2X7A~PGDr9y-7-vgRjGQk4Kp{tjWQivWAgNgFSQyuv(h_Zx$)`D1xHxC64_zzwjD20^$)`02nur+cnjG2N^KI;5n<*8D@pQ-=!pA4MM4wHH5%SF%(tDzzv(qW8b`9^O>Cq-D z9>m+3?0+zVpGC(Im~O!0MMTQf?PAy0^jKcm2} zJy6OR)RgHh7!t{P7}k5>U)!mAjfbs#f%pVKN3opNwQN zio-U0k*S20jgTGF^{>sP@;EQKlpY6iabAY#rF9n#^T9!GuSf}vt8bM7z75fnYHv|%d#1REBJMdQQfLl;(dQvno+Ax*4E$0 z9IZzlC>hOFU3QaQ_TEhDT-2DA->Ch7(f!j`rjXmVW8t_jy^s7i;iL5JIf+NL8|<9C zkvR^h$L-#|+D;Rn&gGU@X0^S3M!#koEpBlChPJh?k`1eclx^?_nJVTc?a)p%d6XQA4gJ<<2T4>PD^JLZB`ffe=O2iye_S_mdoAjx=PMb zgP^z*TP@y;#$=A#yv|M;;S<5v0KX%&(@-O`y*T=5wF0+M(IRHX^Kp+kDrQXZL&3F6 z&6AfRB73gs9Y3V~%*Cmq>11*xTQSRE;X#$6grWY}scT{x25iBRieuuj81EUqkr~nI z2cejCcE>yE(xbQyc6>7BTr8$C{GIRsHI>H;q<_UdmdLnR%(HGvbLGHlb^of?3dExS!%w%`j^W&c>Uv|bgvGp_4vLT zzeBZY{64Injds7#ac%MBn#v{Wx2vGs{bH-5sBv)aNGTT{;X!;h+Zzs zF8rJP8ZR#Ira7VXq|>|RlSsC+`Ao}YG2R}6;JfJ-GPC8CUTPtkt9Y|*UrrC22(#3A z-)J&jiQ&j1FCKwPY$Scv%6l;NvSSD(%HSOw?#z#6;vgwR91=JH4VE)%rV-P&mwTZ2 z!#B5k&B1cAZ*`R2{~a1!#h>~hs?US3+g{6@oj^D=AYHCVbdC?$a!~RS2hNf5P_(WA zX)%NYPHD zB6)N#vbQL)o0NFW_Jq~aFmmuTn$q59(Mbh*n+nhbt>u5B2v#^V-SL8dc6w+EM~P`A?26&nD|vyy%|_^PbPIG*;@L{&FCw#;e>Zke^#&Va7Fl zw>m`J*JjJ%7 z#pihb(3k&ocxV;KY+jL($aXS0DsmmXA_bXu(+!s5)KK?-{4b-ONEzoP zmd!;0$Wn<`rP7Vw&`m7ai$)3#Uyu<>G}3Yivg8~bJ+wmJqqoy7e-g@>vqPGYyx|JMFkw_%8G`_{*`Fy`c=#Mc{`AL3!m|q z?RYNaXHar`E-F!lR-Ai#d)!?2mL~N)@Il!jF1OwH3^>kgrKZhy7gNsQ5JbStQG3CWN zu>Igp#@o=@wXZj2)%?kNrF40RH((LWi@`|F(qRZn_r{^TWbHC^H)Fe< z^7Xvgy#X0r;)=86471^7yuIy300*8slE~K-xN9|3D<9@MZ~Tqe{Ldqheg4pbz1Bu0 zy8CT1W(6QNzCOo{+9?)@o(?kLuNiY+q0jc6Zhz;ZMXf{wsr@;G_u9?vP%dU8b~|h5 zZonN^@c7*#Hg+!Yh3362O6M9+5nc!0vEm&exe{(Y`oVY{bklasCP=?JO6}x%b)v_h!i-*5x@>=o~+L;HvSP=M%wM*U8ck)e!Muu528g)S*#>7(g zLKHr5QV?x32I~FdcL`GM7N7f#lYgT*5R%S#!!~$1h3Gs#MqU>rqH2c2-IG_+CDV6z zn!XhdrE7&Vlo>DSaXyy7Vwb!K+{=2#{@4Y*mEzt8V3Y{X$!suMpFQagVV&`kxTi3= zyH>Pc9XVIY^t{G~NYhfbH-M)&lr0Dwpw@MV-b!2U{`=+!b9Pys*!9edKf=22nK&P# zJyO^WJkfuV&xc0jlG*y#Atw2BGKh17`=W2KOKkS7#ju#S{mrvx)NpJ1BfUsQaiUBm z2JC|ka2~Hc+hX6#`?o|O^*x+&tql*BIqLWFv*O-iB%ZVa*P?vz99gwo{q6+XKf5)W z+J3BzHPZ6eh30~o`2xSdZ+?IKgli+~E;JZW%1W8O>=sNGGiAOyZq8MeFO>H5OZO{h zr5N+~`lhf#^9aeX+dRaYYQ)*6~bu-;?X%t^Jyp39R>m3!?R1 z3Kuyp?gB5yUSsMs^t%8mCAofdbbWR}@Qw`RV(h_PCQ{ORnp|pbpgAD=E*en;Aun&- z)6>OhGO7q?g*z9E=B@0<%Ith6;jsiV*zb$*PD2?9SazZmOa6CmuGyk%!}IxxC^sBn zCI()sPPUcRFlk)g4#6|S+^=h;Y1Mum&xR~@yxYj8Av0>WY^Oe+dZerw@tQHNVc(fw z&kCv4plq_j6$i5@!@WqC9O}8xvhC2@g6JC&0N4|IAA1QFB&4UC#Oaq?+)FC{SU9 zU`iVvg9@)Kg$zVX?MJw#b{jsnPu#y5jXHDv2jVJl zBqpuI&8y|nW*Lud|G1FMYL}gv|L9S_akQ5!yELEPvt0L0|KfYS!{9zi?^jq}T1sVkyF}yLuuO#}&Tt8|r_N zE>6P)>p-$*Y~MmHM}EOb2rs*)AYWUA?`rh%=|Fzfd&S}foP%B^%U4kh=e1PN$w#>n z4qq_U-+bu`i9o|hiYP7EUF2O5Bp{Hg<@+4s78GrTKiAgKqbwM$DnpytSlK~SPOA268Xn{G|t7sKVC{h+{3_W z7UB2|tr;JUX4{*5oS7y6IDp`?BbB*j(+I-^GT{FXNlYL>qGm_Vm#L0I_RI-{CW*WU zs2ys$wo1(r}_efQXyKZcrUrIl` z6t|q;TH7%F)#S37t%uLkX5%sE75qYbhee(+AM8>PI)jCEC0>(9@&1fcm^2PBU-64O zRcs+v+7+3b@%x!;fmL#fs6JcsQcZh18R+7%FS>J;Pt*^?&+aR5x4iv{^bU zSiQdv8DXlh-{m@hvGZi`UV&??@V~x-pk<)o5JB*x?1P|RTJ?YAJsn1Zxm2PnSTauW z+t~?z*wbCaq-RPu@X_orQkjv@idottVsLckx`N{s+AygZJaeV&$_;be%tRC*{9Ycu zz5)`ak&F#A!cd}Rp|{|y6B_O_vxXYvhn{yp1X+fI1TH&s2zO$ZOsPhVTEwo))xSJo zBO`Z7#ya}BGAOXVsdFHCcBbq#i~bIbr#hE__c+9#Q6O^y3e{cyy@dN zB<;a#^4jgZShR=Qhm8=IDY!2YfN`H@WT7#c4!{^~zN{YuN|?}D2LbNb;ECHz*HPIS z0gZ82nx8o_em;xz3i+AH(wQ7iCC82=RHjeAV7uS^MY@KQT&P<)CqASJs|aZq4i^?y zGUFX2`$fOrmjIvV{RvLYhr@=>zZ8Wd5DD-W%d-erUC2t@ce?0N`5SD(H~xFB3T@Db z4~54CWE9cb+#LUEAC7bsh^SmN`hp=YgOW~QZxz6Pb_O`sf&Tpc_IjNpr8gi&SpJ+u zs4qYl16^X#<1}TSx9IHJ#QWT}5XcJm^ly1HE8L0D0&;6qbdQhsD|G2siF)MVB+X50 z^dGZDqXvxQ6@OU=z&UwN_FX5RmLBG`0*)M4xYy@iRGR;?9QZy!suR0NX{3gsn*erZ z}X8_ zVb&igDA<(lo15-z+swaJ*IY%gUF=;QCj7j$j7+)gk0xYkXvPR^!ylIE)#P{L;3YGc zX)`St5mTsC&Voy&mD^#%QF^&(uSIhPn7x{c^Y*0db@I*kbdWkgTJ|* z2p<>ri)mnbTkC63PcUgg3v z-U)R)T?+{$kt4`!nN&~{q&g4#EML^&miIuiqtye0Z5Zy_=-HviL2()!D;i*cjc|7P z))tH3Ilue7D2@emBmgQ?maD+_nM~hefxWSVX{mlU-Mu>SZ~l&12V=C+eHfG4P>i^C zGq7WptPR~R808kUsFj+(gJq@D_QR%iH^1pt4@!UM&84JOcTLzkw$|6jA5Pjk^px6~PjyPYzs9Mh6GRO+%n- za8!Rxi0R-Q^b#d*1rZrwc50ixBr&7M$Gaq}W(9$2;p^=iB1E#D<5BXHffifh)QB-V z>@@shYnPcnW4a5ij93fSU3=+1b6}|aQD`te;=FYqvV$}oCBuCyb1R0D{M&+!xl6$< z`9Yq9h$nLX=}8BpYqpn+%ripgq6)~%_;_a~i5!=+e`t>$d#?tM{?`{b`jVY}GO1n&0Fy$-e0h^>yIF>TzN zRfsdavq`93C2jYPEQ?#JF7bZv|87dZ6)1>y}AoJCR26`M~n-j zbeAd!zVqvZExS2v!2d&it=eucC8G_E^-fVP9EVqj+=J;`nhS_}Ap+p@&9IS+)|5m| zcDq7{^RE{e?3sk@^q>^Xx|cV^IQLBWvZ1JbmM+hE)swhGlaHav@?)|pf|Ub>uIl%r zj%);BTm=?pT|aVN4&w$?&edaMV6a>Cn3&s;Y(W}FlH996)O)v+N^-2x1qSK%J79(kGKTpqh= z(`T2jNcnVpmuVhHI4!LtqhAL53gcX+B_zlcae&nv(-mpl5K~S^BXJJF`OtU}@M!(U zgmn>!0Vrs%-80gP@E%7@CfR`Uq&-)LK?m3J6NoSGe9iL+WzMw&MVk?uNQi^vNylnvJv}U?m!!WYBUxm$B2h^YP#jPI_seJOAizM%SDRoDiBj3L+Vw^CKE;__-A&ZBNhhJCra~k2AIs zRmndbW8Mj?;~kMc>b|mqIzJhMnHEf#L3~cE#1IVhU?aSAdY3uxrm}s8nH5v?DG!1_by;5TH*^hA-oJt1`3GbJAq7YM!1M^7*FO3cXQ@5n)m@W~I;;<$QgVu`#T_YsxE0NhKOjm&xO z%XsgDOfQu{Dzf1uXvZunOE_8K+VfiP=WZvw0O9(On)%WM*xLO(++5|#qv|K*t9ld& zP+L+X5})CsR@1s=M@bWv{;$d6~ELZSvcvHxAMJlw<58f_I2(iYN1z8l&T3=H_B@<97 zMt~n$W`Tz zBUA-m;2VYcpe)*}2iYi|K>SZ}z`dp}DpyHmnVtU}_q`wPa;**7JgMbO&|C~FHNBGb zecDC__f*@})j}Z~r$eih-A+&lWA3r>qIq1!HK@31Hq0~HkKI!uw?Cstu~I;qGv10} zbts@GO{H?FR@^o9tsbOZj)$valsjl&U9(&bQw^}&0KsW3&lg|GylqkRuuERyH?+iYD8 zac(#1-~rPU6;>YHv>^Z%iTY>lZXeIb><7Zt32;#28q?gof?Zoq-^gK5ae*%7@$igM zd%#ZYZyQ$^)bxn469|C63%NBd`(7ZT6xPl=0-F@f5Iw5!;qVhgKo)gc_>z+j%J`(H zfB`RPdZBKSQU4SQUjm8*>D?U%As1wJ*XLtqj7E;B9(^zOs5kvNG|T^{e2dGWf@7YquZxQx3}A#sku(C0WyVoL|uiV=N=-@lD@q7}@aR zz!V&kjg*jFchUWY@J@S-E4EBofA#1_;gt<$yShw}dQyvV@0(|9xuUrCKB$hvzBda< zP#-VILWqmGxF6U@Y`g@GC?z>Rb3Wo~#X$q%#@(_KCz% z=*vl{kH#sGHk$V*!jb__5mn9sjz zD*nBR<_g5w^_OWgK|KTUHni^S8Q9iA0O9Q(ijgk0*Pz;3zQBqAHT)su7Pj=uStT!& z9kPDkT+btwi{Y?QhCo7(2+UZK|R{IIMcXnUsdPsH0hlo-B)JZNhTV5f<-v27`q8TyyhG@gn+JX>*8Q3?m$=MwCyp z3ZwctQZGk!ovFdsppVHWF#pDB;bn1wU!0ZR&lD{Ku4Jo2OoAL7S5jWF0$&ov-3)$b za{B>3=GuJ|3=Q9l`?W+5#!z3DC~_9zRmZZUp(PUep)|zom9`m1cH|HS$D`7hx zi7Z|6jmC5JKBdD*#zn{|LD}nW%X7E3;QdzPtKhV#n){REiU8@KwY`{l{-O#uPRk+t zU=8TkhU~-{OzVXg4BpOKUNg74n5oM09=)$b9z%nVS{mqs;?=KH&qEpWg(93qfF`1& zs3m5&0fEIZ{0ikeGR1lDXJYhTUysGGZN<0GuB z$9wdoLQWCGn^#U3W;i^DP>m4L>Ia?AcC=3!8sb@LD#o7QS_;x(Q=D=5JPgFmQr?~? zXBcevl44Sj{>xXSwdi0)+J$_&QamYVwmb@t&|41hL3BX9sG=*;F9FiPi=$FD70|qqD;9Hh`!TlRyeu>NQrWZ4+^P><;!wK(fC!kC5QDx&4~$m08uYyina|$ ztH1B!Znqd&`eSjx$%K}Dat(2V+hqKMvW;VCKgC`+u#hs26*o}F&~2+)3|SzBPF^@q z)-wd`p!qYYwwb4Mh?VZQd9=c4)_@-k!pf|h>aG1{#r{s(tjIx=uU)VOSHkI9(B_== zhTidI{oz8h+BJpA$eN5oRd3LiNF<~RfJ)uRIe*6f8OHJZ-w<(rU0-S%jMC^^PQm3! zX#nH#ft_DwlZK&Ez|aTiS2sPQn~csl$P6#SQ`YnWP>;y<12ZPh&v-XT>;M+?DtkICavfaBerl-iH2{RSF`;%~mY9%5Tmj~{MH9g+?9;_WsSkGmUOh_7>v zILeFq@*_1*^yyxR_cpdP`=AZ4N2SO`Z~c$K)kN4Z?_I19GgHGb0fQxR>ZaqQoX}6| z5U=pua!Fp}<2)FvnS+Z_0da&II!?ak=g4*>RYwJ4*>`8qGAPu+6~6;h8EZl00yO>( zfrBE`!RL5PVt0gvxEy?ZNvAq5ugg0%@`|M`oi<~D}2eDZ30r~Quj-Ye~F?oOXjb`mce4F<5Se70*B3EemeI-e*T&F8djY#&oZCoZA z@Lep>;IIVM2o_iPvj9!+lHs_z^EsvGPQNLVb!|>w%ijq3!M0&ToY75vDr^#u(OmDtnOKCq-W)3X_fnnc5BS^{D%lVWGpF)Z*t+D^2`j z)tNd|0B2L|MdLSB-d z6DRJavJOFv_JK&5n!lR+r2yZ8oUy=*K$BqOwm5H{aZg+Iy>LNlf~ieRb;e^AXcfx1 z^XIw!9Z>45YTr;VX(|PDG+ruYfkX*vRpxbL|1VU_OBPewU{I8PTRyM^OWQ~eGO+mg!1i`C9ZV})W&9EP`D8W{EFYjH=Ofy=DVCcZ7)Oy{ ziENaIfiwN#nPGA44`#@kT!2EKYtFL+>~MN#GV!JB%6Ftyg6VM$z3!e@#GV|qhIsh^ zXk-jNch`d=2=rLYN|&iZ<)#R3f#9jmTLXwiKni9V1LB9#=o5h+5A<2s=p|j0vvE^( zI&!<}j3cOt0iq*z$D^PKmOt335$Km)jRzf^tg{;9_uDXLOjhAlGmcdN-ngy*g+IXP zLI=8!Oz}|^adeASa7?Z@jJV;!ufVle%(oOb7Q>RxTi66?Oo{wAniN z-<99)(z zzMO+P!^pOQMzLwo#3T0SD>tr%sA#IiOr{xdQ3T9ZGz(A@fCi_ulcoVkJTcF`Ekn>s zaMJLnECl+e;-Iu2SmgX-F)y@(A5-6^Jg zPR+kBV`I?WvmikCDfq-i?GmudVylGh8N&NJ|AIMd(f_+3+#+l_?+o~({RdiJWta=6 zp)AKv1{tYLDm*;=P)S~Nd|KQiCuYoIA-X)yEH3{_yOOZ^@rB1K;_uC@WEDalvOW~0 zYkNxtbj0VZSZl@y8Q#po-!>?hX50bI;&q8DtcrcVp}#Ml{#4el z2dln2W2GRYpQT*dJmc`Kmo($f?GY4^aLsN#dGth1B1Yp-#s-l#=jrm^EZvWr>MI-H zaxUS8dliQGAo+zJ&h1078h{j?qo!{h%$o79^wNZFh}o{3;v13@d2v;AF!mA;C!Tbu zDlKrIK==(b&aH)LYrT0qs!0VsHqHlx{!HJ4OUdFcCFNyVFIkSALLn&+lv(&cy?TGJ}15w3#lk zG~ex)EL*YTW{1iZP<`&|%{f$G-c4cHIv#5bM#a}d0*@XmW!%>wxBKAh+&FiYsErLs zOqq^mnz2kR9Suf8QHo5b)R!e)d>i_4-BB3gtTA);=s5`V9jsR92Ah*g8ki1a5*{Se zIv;>Z$|VxoUbU)$f|KRN@x4HxPA0{_6QVnqfH1uX2ZZ=%Noca>^(nxiQezgwe6p{T ztd^*-n= zp*b6a#;bD%$BX8yH2dGzti6I3jRv2yzzF?v3cCCN9?NfIg`51RpR1qF^>VGHPASj* zzmP=KOSkNJ1gNvG0iR}TtTM%T=nw_*Pdr?BtQpxY_ov`}cuk1oIy)>n7(eYLm=GUA z7u8eHdyj^}=%#uqUxdy$RdMerJ>}^}jv4285_zD>0kaFWdZQBv9x`<)h_x8DG#3R* z#FfLkzwo&+9DVU145!{l-4#qqK!cfPa*gW0+Gcn_Hp0_Z*7FH2*<~AF#qZ*3Kx@5J zgInniwLQ=FnDz&Chtr}FUa3Q7G{HXBk1MtWbICDbkgtKRLmz}Cd2(bO0RtD+eXm73 z3+=jS!lW@A^6;!&2kE`E8MVg#56a$Ht0m9@h<^}*Ap=lOJNJRK5=MnaE)YBjNtd?% zi2^%VW&^B6DeG)&%-UC9eEb7BFeuV~6$j=E;k2Hg2s`xa>ue`xMIx9K{@*2eEjO>0JCY0yBrEgefrz4X z`tYZHAz(;1Ax+`aD>TZ&OgJwFKY8ilRdp_GmNKmV#R7*qwSL4Sq+NhmaqOlkE#&S> zJjyAA1Ea+-5|LvYM<7O-i58=|Pdd#d7NaVw<)ZLySH?QXOy)yYA^sPjy`*vUy;bE| zS3OE%t5qXv#}OW~3bF}<;|^dT1M{He<~^&LHU0Cx_1(T;v`-?BooH%!j7wCSapd47 z^|NCtxtXp$j<$v}I*ai0+}5ZyK2_b9B_$gG++=PLsZp&odZf-~vk#^VnGq|b%2`bP z4fmmJ1@7OUQ*fQ!Zaa7<)@Pt_e|IFhToTtV12JAtG^?U-`vZmrk9|c2oS)0+GQ9R9 z689k|@beU%1vsR0o%{?e)1KhB*$H*H^L0?gnw(wR`-#knjiT7~irS1) z9sE<;Qg2`XVP1XR0T^qTY^wKCUhAF`mr`s(mbcj@C{EQYZ$Eb*K++~e4fSoNW%s+x zgL!8GKt>6O{M7YMx+mH1mJfQsmfWL&Zg32?Wi|DQeWouzD$gOHl4FF}E`f?9j+QoXX#Qg)nS$jrioy||zb^Y9ax7H9{E9nDduy~m!{f>E1^N^cUJZ3eJA<^(^cNf0{7f}pL1dK#?4X#|%6 zvac!;m=ngV_FQFO!EMiPAu5$KUA>C9yLlV>R+OiHpqOl~c-Pj;VW&aNjL@w`IM~M< zlS&q#{e4IhOsRB7u?GJlZRkU;cV|_}*UZT0b7P2a9>ij{^t!v%(zosi(*`nZnIT{% z!weLapk27s96gbawnj2euB% zr;gWyQ7#)Pr;g;3Ok=HvXn;PkF)nX4uyyJ#x@3^3&f0 z{Eh+0&1+vT3p>i=MM+$SHF@PJMOcL6HO=%)316)CojI)cKneC#TL*=*FikG6(5W}8 zi3?bni2=+ohy?yzVZN;!8jfHa#A@<%Jl2fk1^agofVHysqJ(xyJ6&(yLpqd$#lg_9 z565d9Bo{U`zRg0U+|a%t%tvS`&eo~e)lgTkfTNkWWg*^fJAATH=i%lrSpf{n_SP}q)OwKv}P zKhX6Ku&&ynAWDO-gx!NFmhY%DScwTlPmWggj@Oz_*rSalWmxMexbzM8JT7Z=XiPXxaRU6<^A16u_rgH7MHpB#7Z!O7}jikYr{;p?7KIXI@i9knN ztSZxHt{Vg9%hOZ~kR~P?HVNbfcXo-+awb)c*wu*qYs#`Vyy9KJf37nfSv!KeIE-8@ z@B=HsZB>t~8RRFdBpzVKVj>~nB+1ygk_N`Be^B#x?`iz^245C?%u}dm9d!T3S!}@` z^Mze1N>WZDA!JPPx&lu*$};Fwhr(&og(6$V?zT#X3SlA_r}nZF9OAs#N!ACG`s6u>Pr%K7Yh!19vy z$o)v1*X@tW6XK&E`5Rnj5!1p;hJ?z7RAoJl+GY4s_I`R|_dtS_>rxnC=s9=k?-)e!{tCI`@#VLTWGm(uE_x!Ls4=$cIowcEnX3g2<5LLOq2)KJy>ZcGg*;@XoR z9K0P%jGpL4vQK1L5XON7!0tS|_c2%3B6|m_v`^WeY1ZG65aBy{j@BB>RwBP9SV{ss zk_wswQVoDG89OD-hecNJyC@nbfB1i~_TFJltzWmOq6msoL`6ghRTPvcY(eQo1VKeb znv|e`h?FS3g-B6QlxoO!Q-UHQy-5%Pi6}~yPy|8^(n4r~1V~Tr!u>nn`M&!+_x^F7 z^Ow?+m9^e?zGKcY#+qw+Vt`!tLGWOohJNUA_J*PlpVcMDQUZM5&(7R zQfPNQb}ktxJHx*J#kEab=}`eR=_9nKO#s|-w4|c3Nd`%d!$QkfWDPrV-dh_`J7-Vr z+RSZ}nh0gRjQCN47eSGe0fZi-ESW*pXAz9Gx2ge`?BfrW_}cc?P*Sx4R|`8DRB`-Q zDo|e1#>|Mo1_6q9qr(AEvatzQx4HC&%bHO3`6BGrto4MHVI~6K)4mnalCnaVjv{=J zb6wmiV;qCU-xvIU7f!Fm@uFhzlm>bYve|EMnxv;luwbQt&(8D8NdVXj&$R~lt@+N~ zt$WwV%1ReR&%H97+wif7+ucSbub2dG^j%BUY>96F`-!vjXpxQEaFGTEF>rXSqpET# z8NBckY#M6p>cx70ee^>rY{SPIU^76{tk?d}HDt-)ku!HKoqJ|PfT-zlSm0pP!o8>; zhZ~_I2QjnjS_aDn!~0Yoq+Z7sXuUtSV0-_32JB)gmXYPQ^$@)E0OI8Nmc0#k6JGWe zr37NvoY>N(hESCdLSB%2JerwxW^@JZYt~#2{zVe;T7JH=eEWw~Cs24wgwmr;+?;*@ zRs$(W?_nV8(gvhRfQhU9LMWtH8rGw-b+KfO6zu116aAY26nSwE)oaAZCU)HHIYIL5 zwhjGGX?O;pz-`Xq6%bR94HH1!=8gAat4)3YkW`VJVVwkOI=~=K;yK;;_G3UXb^o5> z{}H1tdIY;8p4kF~w~hP6-XtJeym%t)25`78o4fl3?rkcA^LDWoq~t{sRQzLE=s6x2 zkd`3PjfN}o;J5%F{?G2MF*80+PBKJ~?JI2#&|?z-N9W!KfFZYWMw84o$as5@9{JZ0 zu;Wbp=%;mB>lFm!_=Fy9uRNyx2fo7o(Z??h0~LTmecZ1O+vsfXr~)B?o}L6guBj5L z5=f3y!Hrl$Fk#rAB$D7}nA;}>QHEq!ySi@P(?j{93{kRLfR=JQ@W7P9{RzT)qY8QJ;Qlen!qDZUVe+8Zd!bO6Gkzcq0)Tpa%<9x3w^g*y_v8HksJw zYlv(^EwOj0{teIO+N6klF3|}3_)ii8q%Z#o8UdO4IL;i{sOh`w7xj~e^XHk4vAPGx zJ5r|Xj7v|Ogah-w zwfbItLVk7-oOoV<-b8z*=HA!^toygDv %N*6r^c|)3@E35E&bgX`r4GIGWjv_!T zUSr`5$SLNM7k*_$Q#(yVr+tMbZUVO!O1>}U`olUG(BZI3%9jPw@TtLSfJkOP6{s9t z!Om)pz3wYI!}NO&CJ>*4Cd6SsFsX=W-4Qu9pb}{(oJw}v9G52pSv&Z32<+g+?Gkw0 zXCVDO-S3 z_g6E^YXmpKSeLZ{BOv>in8`g=>6yE33pn16iRDiHpo)Nv4lOx=TLk;VtU+U@oM{mc z^StZ4dmvaf0=Ae|B-w8SyQjnj#0QHfMa~r_1Ef^MwkH%28&{}DE{wAj{iW5DR#Ji= zS~v}pv>>gQfz;2xW8ivpsVo|ZD|5%EMGVJTaRCv4aV#*9ItY{v`%AC+hxCg9@Lm&W zJg})X%Po^homw4?<7qy_&FPfqtMSJ zINeaUJeJeCgUTW~b%objBI7(yC0O6c z-C?w|IeKMpUWY(jtAuTG4Hs3b7dcfZaF>rWd2tvFKvklk9sLIFI{_zO?4 zI6@Fz&T9W5HD6vm4CdM=67Sgn-n)zaZ*8Km?nnRyX#$1iH-;CA9P~z$SEPleM6|0Y zzv$9@pgLdL+#I0|gc5zrCJKxa)q!G2!l!>CR=Ge$8?HO^ShUljQp1SbU`4z`?w}4s zoRZ~yUQhg>mv&C3Rybk8D}Fv@;|^$T>yxc#L~v^&NdH2CNffIXbw|KO{5`LNxQWSf zzcckvH#E{;) zfGF25p?VTe_=JN1(zDM7tR$7o!hkG7>16ETX*|$A(1;-ryAvRX7CiKB?E(UC)hH+! zax|531Pj=)r>YcxZUL}N#IrkUcN_swt^xX(vMpfqS<7OloM%A2ZK1$}#WDn*18*2b z_Xm7PX=g*Bq^AwpfB_B=+5?=q3~=nbPSnpbkDPiB*sdqm1%b`{%j7co4&(+}9y_QF zs#bBw&}G*I+0!CiFTHn-02Nx4Y5>5*2A6Le+dgSlRHu|*Ds)@2v)LMYNp_*D>1Wdd z?dlsPS1vn|Lg<0Ig8o>FqN{W37puM5FzxW*o5~~vV$zLV?mJW<3U*vp-&XptA)FAEb`>K0&4$xzbDSL2m+baQTs)yrQr!Q6 z$imX>bAQWM?(8<8)1#P)&e({%mkoP#-@DY8@-fy&cQcmX*_;(yH@E-HR7|+P1o+yS zrZ_yv4K}H2cZC~St08xs+s}_3+JjmmP9l;RVo?tk{H&3G)5dCIh5y`2agPG zDzreTDv&^+ocWstNNAhqf9*Zz74=0b3M;5=Fnk=Q07T2iA85GhMnsns_n7vo0y(q2AaS`0BZ(8YHJ$?Kf7>1@5FZ!|#)z9NTLg@X`vKE}-ulzSxa(KRCfCzc zv4jj&G-X9?+XiEL)l3-!=B&b^$Doe#Ha|cFIiS#;!n4zk?XSWgI%gzH#5J|3-y1)6E{SNOO z1e7?SOmWsvd1GOO@3*ETz-2^36L;(d>^F^Aaa-0_#a--KFb3S+3{bkO$u2?BeQj1_ ziyJcX6H;Ip*;)*{Lfp&hyxdDnive~x0`P-Ds2Rv*w{HP^Hi1&{x;z&D?7dN=`}47 z7UYUE0Hcb-PQQt72|C`O!5gpVr9^>w?}UQHs9+eWa+2Ler1{d_xQh z1squI9u|H`0hkvirsgf%6pMY@TL>_B!7wDisQyqwd&_L(fyJG?>>o(bw!OmW+Df41 z#-ne_I--EM$-Mo+BY?`zjQBwk_Ay4G^YL0!MzElXHJ~b4U6i`guyZ%y<`xW;$pLIk z+JJp&!PXlPmOfwEI0<-T^t&`NR)HE(n>{MqLgB}lFGCjyqAbR}CFf!E*1}!LMnhh= z2+IWrFqk*+2hQ>^-E?V){BIHr)eJNnZl=c@G2&|sT^@IoW_{I5VDWzkB*#YEjqrb~ z(9W4i1SgD#k{rs~NKz3|KC<|HPqQhATZh_ml+{)N0(386(}g#t)*@8Gv9;4U0lNYV zm7IFg2@jF|6xI$IleYoIT_Flt&ri|onp1DrsByAXf`oiA2MtgkFU2?+Ope|rHQcQJ zZecxd{3t9Ki|GU_Gwp0}8+4hhmu#%wKh~uI&UGEDiyG3pvscoGi^4)jGPJITUQYY1 zq$w@tl@v)Y=5?jwjqH6)I=)+YFSh&xUmGZ~#(+M0mJ!yUtHFH{jF!6ugdF`$U=eNkWfC=Rl-PTuZ~@sTo5l`nPw zB=3HI)lI;~5eCcp30@Q?RHTD>i!0uc(r(NGr?q?8P>; zN<{toomnmZ3a>Yl-&U@F@XI9@Z17M zLulpiGP&AZw|p=#S{~gMlo_|Ocv5Vk^L$gC!SGooFZT6w)*2HhdB;LEigy(#6i(T2aQVu~G3 zCH#G$xtwrFMz#)fEs>OOJ*35Q&RuW0a=Rg>)B;3IA6C`Y_=xvYDtNh{Z!qCy-&#Lg zfS=jxDWx~G)$VzGv!&s2oIh^XR}cSKPqp9`w3NzdkD%Wj)$Mn%lpA}cs&}i$K4SfC z4IL>*b?(j79sqjQ98f7p3GMe@q1}uQR6VB-5VSx~i*4yrMSlEBd5iYZYgPM(PeoOb z;=9nB`I{}*Mk9M!Q#Jku4`7cn(Q=;*30dVj1Vc_6 zp8Lr=PNOnqR~C&9)}#vSH#=J`}gnJE~IEDee= z-AWC;ayzE|pYlN=zPb0@1kTLm~suLkvt z^qD)Oj`wxmY1(zf`L~gam*v}r4YaNeyklt>=s2s&yyNNnnz?T(zK651(2sa%F@E%% z(D&sH_0#~EtKUZ@SC$H%DWlGxK@@>lX7m<10^Uh+(zQFpZAlO(PyDkPU)eNNQA z7y0-}XBXr6{M9+H=&332M@{aB0*Dy7sM?Y}U&!?Fn&AAxe=6kFbQRQv{4Iizf>Ukm z`Gc1CZwKu@y&`d95~<=6){I%o6{@QE5*=J57^WW0)~mA6PuHM5ZV<=ecF zE;N&bP~kV#`12yXI&c9a#hj%qe;Quh8u)sQL+i}=&)<&Cqa3n;umAo15-%CyESp02 z%B!p#s}{G2TU8EOhn5j4{*Uvz-JJT5-1Eopl|KrNo_?;%D^-*rI+IcMjI<|BCg{^j zB}oH)`{u0T#IL?zt!kEL76;2i!~_Z{4`isUH`koLH=ky3K3*s5rhPSd;OlSWiZxli z)%Nfr|M(HCy_1p5=O$TL$GE%7v(7YeM0n(&Fx*xd(5nMtdnM7or^swPx&kaZhvfoK_0(8mYATUGRr9RsJ#M z>>jJdRoB!of!es3L|{K`GXnGb0Cm~VVPaHEGB*0BERdC$wBhx+yMV+(ET%Hm$%Lq) z^%PZN%!-<1Pts%TC5DU|=l!e8u!wGNWH-o(hGzWEV8&if*;(9rq>)~uk6zw947F99B{kRcy8 zz^Bw5Cpbi4J7_^++$9K{uPPd_*Naxu>4e@q+*f9(_(Y_|Cn6CsZt&X0zOk}7P85Mp z{c(op5_;lNMJJQ>U@$m2-Lc;~84t(a(0AYFNki->y&QVU!LG8Sl}(IF%GvhCP2d}; z_W1a^l=Yt>-WUwc;WZ}^hPY~v5%V{h12Jps1|52(^wb+Vdef_Q!{m%Qk8a;%fUv!g zJf^$=;o^Z1Ar&(Satsmz4yWFA4aFQvj1LUO+t{1xXGG1@2BFuva@$z|4R-ZV~B#X>AF)zAC9wvKG* z-pIKw+t5oN)geUpsQ44cm+_1bi>}Y|K@#&Rb<60zkw1pJxEry!tZbR|*bHX?zq@AV zJ4nNO%U?0NyvYDQ;4aa;MM6>oI>!%pAE9rXnt=t;GNmxJSqV3orfqJn(DY3bXNph=iNQ8b9;Yh&=(&PNhvGLUFLP94<-Sk@`wOo`47X2wsulJW}XrjXdJA_ zM(DPa>W3)LV|QKrMz0hFxFj5f%&_oh@FEpiiPs*NP24hQdR zzql+h;N=yuIboM(H?9R1_rogH(p~Cgj!a3fjK%RDw0Z3KL3|}KI}#9YaIk4JHX_*R zqj&ejj)whLCTUml+KlRSMLYAFemELX|4lfyk;qYf&+Qe>|E9|@XvV|0qsEx^e7vCP zGln0k?|^ALZCOVXVYfL~U*<6FDH39TY3JLh!Wyew`KOQA7t0H~No*$zVe%czzgY-B z+~h%KP&K**Ex7SZ0aws$z2ACIpG&}<+xIY7D}|&HD|oMn-SwPzan%CqPr19 z9~Rp;dMC!--#^u0arADrUW)p-`z;+Em#m97e58lZFa6Ph{JTKh*V5L3(`yo6$yKG} zMe*;AEafjLqITt@Vr|f8Xg) zXd8u@)s-I56<;bnczdgs`^IWC^Aqf$-BHt4iOV`-8H8hs$?q{cs~22D)bY?rRNUbR zL*oZCdRwQt;0ab+*t(c>WF5I-*;d==WYsm907BIj)+LqH-ACh%`LAxy?+_@1lG5jP z4aJ;Jm1#}fe3McT(K}^uXa4zP%cxPKuq9Ysfhb&Y%g4eq$WY_hsl$Gq?OXX}SnxQj-F1fxzhK(G|wWEmtG-H0an%%rV*7Qq?n}9vDvqK@? zZN4xMFVtWMb`E{+iU8wZ3|m~$vxcZuO2Tx>j_puH^>?OrUv+**uLb3v!yL%%Jvvd1 zvh^OG_tbD)z8LTJVO|j0sS?@Y*AcPdSN)RAO%*x~8}EB7)>#whZx<2q)>#D{)rBXK zEA2?*4?3*JJ{?9W(wBdy3L=o}H~GSLAMoo6G8;FYsjTQMBmJv?$dm1M9y6lu$^{5J+pi|);izEIs;)45 z1>FRiR5%;{*Z=tOo_LEL+XDap_RhQikAES2|9TgWL8He|g>dw%tnVmxhuuA3^O}a= zGZ5VqPr5MQH6=PzR|#85TwLq4f<`PB7tPZ}gyKyB3opGKH#3=xTWA@N=U5Y&rF0&Z z&KYg4;Yw9@rJB}~8G-Bws_7yo1HA%ufGQb$dY>`2ZqwF@^ zRu`RDd<@?v&0@kpoUP5}0Y-l%lNAM7PsBYoz(~g|v-zc)13nMH3sf+t)94YUo-;&5 z=jCc(KE5*4d08nVS(OXO2Ms7Rw_u7Om_?nVO|`T*a(f~C{8UiI=UF@Jd0A5~pW!K+ z2O-;e;fRUYknLI~4f6|*?I(tBmrfFq-H9)2ek+CaLX9wU^crYFKmD2Rto6JrH`P+5K+xHGcf2#fF3>M}I3tQO8D=+mkZsSiUR?7>yk?&|XV`quDv`LSXy%Y; zr1e&LNO%MOsTn`NlhBq@Z+9Qm=*`l>!LVXwpMcD*~+Vr_rxXVpP1Ma zv+M!)4{M6tclTKyU(5W%u2NR>=~51kSBi^%jYAp&Lt2{J1#(dudMRP>1!(ltjjc>L zsinK9WoqXXdw6EMAWUTI4dcaR+I!8FscOdPbcIv1`^1Z9>04b-^Aswl(Lk&h1qPec`ul{U|o*5{{NJ^$J6v8)Sr6_Oe$UCC#Mk=v~NCiE?1@;Un1 zQe`j$#$5v>o!(c8!jc>XhZ3+w7N&T7&}uzn^?rur{Nf-d|U#HO6S zLxDv$8Py_!i@uU;$;#p2S-D^Uhad&{TrJ`T^b8rd`HDYtp5F3RE%?XB%4e!Jx=&*U z=Dbn7v8AE`Mwzxvq$Yf8tpmkLe>%16tC2HXC{-~pV*U-KB))^$V57g@igMBfX};Kj z`y>kXzr+}Id_Efc>BtdHJEpy_UiIRolkZ16%MgUTtU>%?rt71fCBT+Xl@TYelvsJ`*JT?~d`dmvtV*l@3}V!9^HEtuu> zRF@5v4$OG~*XX?*`4i*(9^=NU%$Xi=CQtN-E$?!pDcW=)y&A%4Ksj2DOJO(YvgzhE z3J*c-p0G2hLG}xH&$Tt18KAS&rkOl_LkN8%K6|fa0j+FxG@yA96oKw7qOhiDQnzJP zpL-98_%%LoTcjoOr?~{aNx)+Y11lEI))ZZa&*8QxWeuojhz;C2lD8Zg3V#Z?{?++g zft+mqIgGb?gm^do~&r*48_Vh!J%g<8HLE0Mk!f@IQ;z$5MqSq=S!`-;os}KIkaA0 zxRjJ+*8o3G!NEg8Dz$6HY97h10-%Z}EgG)#70{0v>nDjjWgo?ST1mVanTiHRvSmy0 zitp>cRw`t*4|AY0j6kWT*^x>RsJzLceax(5{T$8$O!eDO$f&;H&?aXEMTsHhx{^6n zi6!4I`xj%0_6=w?L9KDrL3T80hd=q|w9>Z2OaaI&)F(Je4=&%sNnTlC9jmf z2>pYGxh#gmcDiGWT9-zx*P1KxklXPSLY3lug?wI384jyxn^`gSz5=wI0*2kzmiSvk z?M>6GXyUg*t-SFf>%rKZKwbxeQ5}qg)R};iN@;PL(QM?Q4r(KulK-WD@XUEk$Y z=gJi0cfi@k`E1(HPQQjM(^eEc0<1r)vUz6C-mP|Vv||m#`Ct^%TMgUm^h8-HiGQ_Y z^xi~$CZ#+uvnVRUzRB;v^G`3v_i}-NEt2Kk(GfiYVn~VU9MHXD6f!V%(@Z#+UfZ^# zXBV-dqxxEuj)7spkCQbiGHfPv3N8__?#?$%D#b=_1wS z-D(MLuFh>yi=h@300{ht^{C3Xj&|ZgSsb!LsegziH2R$HhCMY#Te{M$b7|ua*i+rW*Utk_+v6XPrC6|}F2>*;=TC??_f>}#Olv;UIvGihjN1IZ`sx!d zF*9btv906UiLGNowCJUJ!z@E6x2ic$?th(NJzw#UpXSHe^$W%7x4oPV;lk{4x+h=M z=|Y%z*i7tN*uBs7AuW!>C13g(*(rTiSPM<&5j7pWD*nPUwSRo^3G7S5(>A=7EidMmIT1eBZRx~qP>@g9JD zfepMYbg8|*-Ad;cW0>EMGO%)5HxN|TEnS}CpxBxGtqk1}u{=5xe8e99+{tCPDU|pFor@ZZq%W!Vll5c(PvY;W7#>K#Nd}UN+!}R`>Z_fkt}{c7 zcku2N;wv_6)VSe=eD6ZsjZ@B3m2uG~3540dS16d1&P_R8$*pBa?>v5Odgr=A2R1K@n;`C(C3^k_D6xN-f_;eX8wE$;EygRkDxKh-~skw`NumZX<{eHBY# ze}Px&L!g`3v0$lQqCQ{)`=Xi@+BRv=#q&5)XP}RXAH<^QwMu)ctsu_Hz7QHc|HeB8DiH@S?yt9Jbs@r3$~*t;faHp>$D+eb4u`kS{&3-qJNyR+_N{Qxk;md+|QZ))UVXYE`+; z%MjR6#ecFTqc(LVV%%o~a(e;{ci1uw?$;(;BHCUs7~^wjViH z)MgJ4ZkGCqw0^ts*(q4=H?Cc5c|N)6km$M*Wn~S9;p`%RvjZwqk35>TfMh17(7`^E z_K({c=o#$!+cGxxk>5r?d}@{qKj=I0t3XL=>nWi)su-I!Zmkw#vw#+SsXQp zooux!j`A@=jMr+a?ui#Vpk6uVJs1T{SHkW~yP{4~n6aPsh({@7lB*OeKoHh>NZ9=l zcH?Y>b1L-HIXp^C&g)P_)qz=~%8m1g@m!Xqc{l%+q7a`61ThwNklW~(CsGkwCjVlm z#%O3JV>TD5a#Cg*o%X)OS0$9`gRXPg-}l@eQf-j>MAiU)J#(SNhPH$yK>8OAV}>lNIF8&qYVa`+BtO>8?;Buw^Xon0LXACZxedbhlGWSuYu3-*NmHrBNH^oDA9 zgiOrtzigduwBVZRJbS+rI5*v6BBgUs9BYhRZ5m#CHmX7526I9THr{mOdJIY4vtMT` zN~n6LV0k%4I=ak>yA{ziGij0)sr9;H8W=8 z;@iB}7uUBk8W1u%@fZ>3OeAWoWCO_ZC4E<$qxeD&)+Cu&6dh z>Z|k)b`YtWeiwVL*~t6n)+c|vX8wB@p*IY$&ao*1QD^C!3A_;7+(d#1k|f9wrVt8^ zDJt!LRjN+`PVoJ=zwq^z)}WI>|9p{nrac5b>{7x=WHGVn#9Fov6 z#Goy@UV0}9Jv~=wdo@khFc}ni=iW=ZfahbK6r?%}j^~jhuA4A9y<-PHZJ-r7ee|l^|m|i4Mdc#dEb~h$~R>x zc4+;WfA7Ds{@Z*8?LIih-m|g!+IM%OY)W6)dpdeMib&3;{1V!x^O5$E)`j&fmk5P8 zv<_W_^uajPRUUiN&DOv9vHw8O`N})Ax?#!(!K4aJ&B_NMgEY>5#w~(#-*s2W{^rU> z#e8=eI@Lz`V-RFt!>K{&ns=^bH!C7o>T#VBx(PEoXlHW|DvXuU5evM&fAvC^cc~F$#d^_mK&CO1A7->Q zJT#vD`zd6TjFcZ>L!#NZy7zywFo`}|^e4naJXQr4VXBmG|GD6^s zoOo1hGM@knh67@)&s|@kbrufoSpWHs%nH+d{20Rhc;n>zWVg}bYPx9yuw|d|J8;eY zc*E_=@9&Hty|tP%{?8TA;%$uPAU<8{mp2t?EKD~&6M`gR)mL`jR0&#~3&Q$|&iOju z+XwLT&&#y~y{odoAwzhF@BQ?kTUh1qE=%&$nGXRefe- zecZY)s&p!;MbSPBy`4OG2bt&KtW02S9+JYz>$(m-Qs6nv3>ZoRgcc2Q6 z7Q|CMqHCfe)q;LmwI=Gm3fhx!XqpkXP#Mh{-}%fHj=0KU7OPq0N!>Plmp%9xWn z)m*-(6P4a4hyeO#FUogXa3=^)g~3uWb4vw{%a3=xhew<~`R3)$&%dikMe!6pt9cU! z*$n~0nyC@PV%ooI@_}=LRshoEGManhdvwQ_$&&msqe3p8b{>|GQD` zafv7#JVq{!faAg0wOyo1o!43qOL2<^m_5va8xKIgWJ`?X?^j=dhF7%-DE{8ZOhqGt~O^NPN|ObLJYpVI-*2Ekt6n ztjYNzkMR#&L*b0{`#f-moWMVwluObh20=o>N(0ciaWrZ}OJ~m!qjIKSAIerous!FE zq}Z{+9^lw3?5z*uqe;zEE;aWLU%=J-9}cyi1)aFpRqNm8UIiSCr3J!~Jj!Tf%=~@M z6!j#8#fNeptS5tjaZ&CVcj`$Qk|P_~*vzd~*r$yYukefbR8 zGaHG-2L8Jl5foxJ75{5RcS{(pt3aw^Jox_Gx_sH71hX}06l^FO>Fls+>*gkq zh|0RqW}`rQback5n*S@OcQ{_(c?jrPS}UMFa7C*8z2et&82ZX%Kk2}2bszm^!j1ik zL*m{pf6MI*%yVSb6hkRk5yH~9;^0G2Q6T@T5R$Tebm>lVu+!7ay!H)n=Jg8`Dk9{K z<;{0c6+eXzbOkaAO{ z+!St?ivQCpH9baLkCmmyyQ^WHoY!|oJAXd)hagJZY?@cyAeA#kgeH|O05YU$-*q1T zxjTfs>ebxypXz(vjRfbbGZNprK6oGYs=Uxy(QPo+^WKi9aW^8AlR@qlAOy*7H!3!< zoZXq^q0%a`emf-rH9l!SJN9&`>$RV~r)>HKrZ?JBYHResmCN$52%jK|sm;WkNI;#` z$fe^F=B+m;#M|5TRtL>>&8q51*4ha=(BAzcKYMnD0ODaC&DjWv%WxmdQQcn$h8nrg zo>+)%x&1gOD|FkHH^L%!m&7QnXl$7dPOv%%E6h`t5G~a}t(KgHRI{Awp#LzAy2kzB z>>)M0+SalzVn{7)j~9&^6p6f=_;=7F5ODQ6Kdg9u^*xIv$XtbcQm&5_)?&~iJN3o! zCGb^bpA}C$a?_Nj&xZYWy%4hWqqw$im87Z5G|W+t`$aY@Uy~6VT}LE`YW8%y>Q_68T2* zzbJ>GBiol_4skUf7q1q1uJro&t!zYKg6Q68l8Uf=M#)d?z7=zCqyil6>3#SPyM5DZ zDe`AcsDxigx+nM&rfStH!z{Sm1p>VCUCh3f`(FzKgb&GmM5(!=E4BzZ*?Wn*E1OM$OYw?ceIOo?8i>C|-IdF#UJq*w!r_ zeD;9ML~&`)Z||R99tO!OTTXmB1@aM8MxwTsSNsbrYOwp7RXQT}L|0Z$*o-#4MBPgH z8^k1En(&7x1bsaaYYTho_GjYL_6H8{+3u*UyU@CiS)&4jh8%9$#T=_iyQ5y-vK5^? zSz*UoIS?yjOvsY;xAS=E9`(>KTh7(B>drb@|FMs35Xc|hZRYr z&)5BZ>Q#<&K8?sp*Y>}t>hl(2+Cn(%$T?$sxcCOpGu#TO+R)%ahG6Y2DJ07z~!IR17u!N27nss7QuGjVG5b9!S~ zi59OyI*;6}b2~z{Yp3SXTcnXi19|R~)1hCp5x&ajG=<7$*RRp>S*Y-(_u5b=RSyhP zB6KlyTKXOLgIz$fpGqRVtnJM2pU$iGNoPiv5(uxJBrh5Y8^OYX06-~(lWh~T1>UG9 zVgYt)`wsc7V}WCYi!l7eyUzelj~4L_%qxg%DymKnbV3}TR!f&s&`aB3y-a#~R5972 zw7Ar-9b~$6V9x2W=-WbC(rkHaJ@KgHq6w^LtW&}*(4HW6DS~@kZ+u7lPhe{oXkemb zL`o`Rx7V(WKYjT~rC3@wtgxp{%{ zSF310@F~7ZEEO1g%y z)40vdt*yM@4pI%P>LX)~!dtOV!u&Y97k{|*-nZ{gtt!S058K8xKDfSypVZ=r?f3eo z`2)V{3~y_eg7?KY2r-dZngfM9M`6}Q{r^Zk{Qjx^re;(asd|I2M_p3k&%W`_i})QD z-4@2_zV)ap9MCS1p4GMCcs7;5oNt~t&>y&^F_0IHL%@u@&xQxM+xJqmd7!2Br6o|8 znfHNB{O}?}UCnFm&|Z=1%ac86OQw?v*XJK>9vKS4A#=eqWoJP*H0`s>Cm#}#VeP1( zStv56($bX`<=VQgTn$+{==X7nhOR&s=PHnHFnP?9?7&S?!;hb=d)`vhp`~lh73e`b z;BU+jx^cMXsyLikw{O_QD>AxwbFRrd8m3$fgEm_`x70QWBJUzz8 zQ=yXPJ%9`eAh3{&Gw{lOIDpmh(c5KI7W~s8L*ugDL-!K-Z9FmhnHdlq;6+I%c3#BH z*PJ|fQD=hasM+<3m$6Qek@oP_nN=`6*;F{262L(=neWy!kkspCu4dE9P}hFtjQY`Exl*A0M-*87~iyP4;_WW8$c{6ZS?xen2`!Bw9-QC^(_2Yf z)&D`i6#{!uW69EU$ZgjSgvpZ;MH)5Dgp-x|KT{n>P5lv=uGq%lnBZ#|%%;8GFPKG#<)~^Z z0YxWFx<4v9tG#qZ8|3^H;c<34T-APgD5Y;ByJ^y3w2klU@i(xCz1 zaeR|ys{)xGr#lMA-O0ta5Lqn{9Q`>kC4O5#x_=KTzdT>*F7t3^;xi*&8~<}?y=Pzx zo;!{=;BJpqRU^ zKlEq05!xw7_$Gx8h&+^+`tIugrC>Scb;xjk95vKP*;j5x=v=ahchqm{h(FZ`NE!vprD)sF@sqMalv z@!l7%AY{w)ha>E#m^zes>HTtg`%U28H!g7@mEZWE`iDK zu*!2Ab$f3YZ$BCCui@yQ!_kX~%ILYGKixJ_ zYJqZZ@`uMhxL2M&#b8>sQ|R_AF?H^9D_mv!9Dn!4a(w*@#ZUI%RkUI6dr-wnra7cG zJ-@^7=}Wq0Scn9=oU`$Gc>hOcmmVcRa#7M1m1T+~Fg=h{yq=O@H1 z5mLTEBd*I5A2+?`{ryfj!hQ{Tn50X``9BCG5@NjZg6myvXl8sb~IWoBja(ROuJJ^U}dO)-0_t)W?lj#Rid^;EQ9E{!i)C zb>A?%)QF30b#A>=)Av zP67Hm%KZJOsnWk7d`9T~k#sl9$JW9t1Lgtgkk%uyTSqo@9P-N7C?yFOqEe14;6$6m zcI@TfAZIKDOFp>{4aaFL3<~K^0PR13L0v5OHAnY9Ve1csTVu5BLwj0(9$ZlwY#^Sm zkBkZLm}q4A>9MHCcmjc7%HggNm^@oyQnqIfWZ!9x0uGH%d{$&&%)xRa{;DdmeYr(u zY9yKv3PFt+gJnmRJVf|+&EaQ1Fa7t+_SjQ86mnryQLd~MFAS~$_N$!2|Dpi46-8Qy z_FD9$2dn?I&q)s+`$lt~P4xZ&;E;m&ZB@X3z^49v$Brotx<^IPMLm&R#!S)W5s|*J;MVukhpC|n9){_RV{q)JW)v$Ar2>x=8~ap`{5Wqx~schA#fF@Q&z|cS&V!+TH#X<#3<$k+$iH-Gi0-8Pwm41EpEr zdPyPC?^ErK468gvS5H6GM?n&Gw&#?lw9Q3E{iNbC<&@R5%rz@BvfDd!%jhIb7 z-)=67E9|$PJv`paaPQF2(YCtG%Z{F$l9zm4_JlcyFudtSanzJ4;gp8}CI|1uJ=t56 zPJ!O@k2{V!d+dky4c)O}Z-jA73&mb{TToDjcTQr6c0!>_3-yt%E=RmFFRs4=w5HA8 zOBgkyW2B&H{&TDO35ke@oA9@t-OrV7N6dGG*)e~AFrSkN3qruYXlxm1>iq7!jAlXv zDo>6Dz$VY*zC;YqHLFEg=aNncfIvUO>I`P&^fQL>qoin|riWvsCHKuPRSL@BXzunh z_$(JQ5V`#r+V=T=k=CTSEfUsaPTwy!GWQjPFeNWJPm8m5|_62zlF?U z%QL8H&kb7loYMB|qnS-L^73Y@Y2=}z7N+r!X+ULQ(laC^Ubp3PiB{31lE*IId{~f5_^{QX%BLkVrsM}r zE4!=5jAeS}jJ&H;hV>|@Gg#xY_~ai;hXT2?Q8R<*MU!|lDU1hEwm#btOiZHoJFIG| zq49e&>rA-WowPIR_qx!(enGk;<|$aEcO%OMzSYgQVg`7#N9c8O6N`lqq8-&60KrbPiZzce2Y4){i@mKw zBBV7bC#AW%?t^B}IC*()*xeI$SPVD#z(*!$;Z0{Jsj@7o&tHADB}I*dLEfGe`bTta zKl`5boVTy`Y3B%aaO#nqh-bB(gzS|9Z}gau%CLWwM~5u4p_7as8XCUM)Wm5sp{1N} zL*#2CMJ3CEOQcN4l{w!KF^@YT1MoTTie$n-HQHvl=I7@}X{9yaj?k?tL{J@PhRsKp zJ_2nS8#K1iZy_gx1CkF4{aJjy5Si55m^Ua>mFpUYg)9^fB ze|V{wS?y2n9NTip{#2TphW6-cfvRAZ@SQ|iWTz@-+`X71;_)E^mx2--w!aa$tZD%o z`4(R0e|&yC^5yl^%Q;Lr$mSd?E)_A}S8ze5S!_6gvmxco9AX%z9OgV`Gn*ZL)93y9eLjDD z|NMUcVs>%a^YGa7@p#;B_uKXUa(i@TZqKsWm5$fcgal|UU%KWJxbbQ zl=Rmw%e$;uq!~*$vb$o&9a{5=buKX*pVW253$WS4`xT~goHKA^Nz_!D@{fFyTZ12& zzLzPpQ|7&c(dA=$o5K+=?kUF4Y7CrkefOVUFYf|jtAE0EUtg`?hVGgfq`iBpc^pPskfe(rAhs-EB!EZHU&HZLyz{zT25hiQ%dHi?6oMIUguQQOATJ8no@ z%4c=V8UHir$~7J=-4qr^OpkouT@iywISrD#J|M4p33jsWI+Xp%E~vLKPKEngMK7sR zw`#b0!_aJDh9Z-<+0=2jHRalhWW^p4eKj!9(pM+bX{3G!9O~C#Q63}AZft1zwWe{P zm$~?T*oTr(+}N4v>{%M%l9*5V6)c18vNYbG+jU`@+FsrL>-G@k+H7;)EuJO4^4k;N zFH{GD9g7F*c%=`#!*sK12Zv_AgoU7vbXyPSvF`?yDF28vF^-G8;x}84Kh=4mL<#MF zF=5R<4S8;3wq-~qAZWw;9#=$z++ZBn*T-~DVJ^}yy+>`fjR{5E<=3wn$$O)8SJEZ0 zC!GSI>n^x1W}F2qw1beo=)Z7yZyjpDPCp=Z&2i=&kNaTrH7i z&Q-jW;{#_7g$iP^;?i|q4!N&$Vgtc6$3CCSf9jgIu06$}P@l ziSy)4w$dwwt1xe#62pF^sX8a5{j_JN3-VRP%Dd6`6#?#bn??N+n%baMty5&Ij&w{j z=aho}fiCyJAZp!_%lV5!zn>#qPXB0l?jIi4SaVc^TQ|3U41HLgJKPi9c|?DQkh?Nz z!_D=`WwC>xs#-X9E4UF1-&;K;5+wQ^Dp?2K9R629bgFhqwjj`qa7e*!M%H7mT3|DJ z8BoK2!E>W+0>kp zxq`&})kvjew(r)p{ipWBjy*O#YHYcAFaV#eQ-~4t0h}-H|1h33DC5C?UDQ{N*gLlp z=}OjA#>yUo+h&oD`@K}xI=A}AekG2Z2bv5%_1)SkPye1x!zX}s1O0b_YB9KcFmPTJ zbqY+7JI3~5m~-a~Ers0l@_9$^o;qg0wW-zKfF?+d=?z0{A6v_0Nd1^nJM!Ws6>y;? z|M?Q|J~|iketAG);Pc|AsEFLnGc6j}v7!RH!;|h60|EQ51eJE+Hv%8Eaub%o&M!84 zandQz)u)7DMYNp11*j|jp6osq;tM_qN*?A`ih77Eyc@RA(^??5EC8lYN9?Lg8|=4% zpVq)f#_dWDJ!Fmo;zaK$uOFRKKmrO(uIM04jusY@F{`J;M~$C)S<)LSf>f7E)~lXn zqwF3Sy9OyUretrm2J6fiUq#9%yXcMLdby{+%9#W76^96EYwI!=B_&@Nq+E$wc=x*+Q*&lnZmtGR8 z(pG!m5W#fV=O?Fj*y_q+^4zhXH$EYv^+_YzD*Xb4L{B> z3{-q=jt)FaoKBeyV?<`tYsnXDdd8NBb%Re%Z9M)=(sFs~(|I-6MPF)w&=eA%J08PQ z-bVXH+X}W$DP#byXreQ7G|tJ;@6tQmsnHZ=0X&r^SW=` zxAs;p)?G*_{t3@^j%fYa;?*bL9~#!flNBo`H>am`8a4bfIR#}qtWp*Ol^K|X{9on9 z+1?qx56fPMCV=I4RCa{uH5!asBnZbW@D=o9sbCBK~fT4lLH?4 zli8>X2}^SJk+>FiH^{)Dg54c2j9E|?X%EKJMc9-I@vrlsaLBwl24<>KwmY*Ng4M0} zv3FVfvL{o@!&cg*eQ2Oim~KIqMI6HR{{+AfQ0K~D*&Zd`6B*&|Zm#V`89g?JSF!QG zNkTwph^PB-pdb`%IXIeSHd~Rs_w?jx!EpJ*Qayxr!nguYv38Inm--1 zut>@DGO2!c{)_E=eyc^p_}uLV!n#>VXULNo2CGd!g-jW5>PgPi51i6`jUN*;%vt;H(3>zPBc7O-y1$+A>_`O8w6Z=pjeb7 zA-+)*F`6hV@bwGoq*5d}D6q$e_^OyH+tFXysJH48+3P^^`s$KQ?-!Ji)^55br=eF&fz$#aezdR(n#}XPyBNdib{YF%dme zq_h~?VEAm0li^^Ad=kTK6*&9j(iKgGMTyebWcS!Ly$xcmjxMq2^@3_ve?P&fBJBnz z9;QQJIk?U~ofQ|7rNOQ^<}A#)XA>-24kC+woN~|4n)%Ya`*o1OjPv;u&3gx-{{#q7 zmhHj2NQRoo^v{K-!5uNNA@}Iv|4e!+bp=i(F3N2V7+{;;8xoUhBbS1)Ob@{K-_ZCQ zDZ1HoL#f;6uPT&ILz1Yb2p2Y1c5+xzb>cX?M$irbuVria2R9b>l>iO-xpJ2%Af5qB zMiApQkKjy*-|^jF{{o6)wy~j<({D`nOh8vP#rAWKSVYN1-VjSVa`j@M*bWKXGW!T6 zNbvO>TTvHUf@@W3+y)i`WU+L3NY>x}qlmj4p7Uag1gM53h9mS~u+ZVYN-E@xz z2hf&HN`PK#j2rezki^yMd22o0c)CQ@$dq+Hrm9e}7Q!M8(M**b;)kYo9;jagVlukR zU6964A_WceY{Yz!%fa)%0G=&X=ACshxC)i`sH5&U*OpUUy@L)&4CqnD&dFxl4F06>Rxr_Xhb7rZi#ZM%-jt#iMb$*^`Nq^i)F|)fUfI3wudreX|OXR#K zGpY`ZYifx=pn{Y80o+pjvI~Y5tAeqH&TfS$(Yfy4;cdD?U4F2{ zdPy#I`DbdqyqbUxb-ZDkaf;`0x8>Y1m>n^FP4mu3tM}Hsr`*GYr$GSzONLlG*_(N( zXgT`>)#+@4-ApS1=KmrYH+Q(c>a8~x&YALpRF?DidUC{|#FlL}NJXdz>g&I%^xd0U z>Vc=(@%qRS)C6u5p&k_;vufKw>%t+qWNysj2rlj56WcQZYTu|rs!e;sdRPU%DH4Z7 zbZK%`rz2h4RYYuVIGDM_&@|sA{PJa>I*7aB@nynZl&bmedE4HG1rv2r-=h6}M9=a) z+;IVoIJM7C`Bu-EBw#v>l9R`5mf39q7HWDHIq6DO$xijaEh{CY^Cv+xLPaf zCu0bvKAMv~7JR#|Cxbd_P*6`p*#(}TKkr#%CL~H!3gZ#}pP;LJdx8hZo9vK7E<=~ijAgGY?SK!-L?5zIYZOV8PnA|D*Z+zx;vT4pwGQg)IxXKnq5&PHv@fLZ}(z*IiXt^X=pOj|!UAD5yV*Iy&c9 z+>9AF$F7ID%g{qhQGc!Dzk2QD&25$0LX5qR;&Y(ecw-!4tDb-bm;F)YL?ivFs{AP= zjH=I{CBqV~x03l=NTeZR!wb2ABeOxsMVbO)nTS}P0Py|*bWq^cDEYCi8x8aN+Nj^E zyk=w=6^LX2(@Z>@%vmS+(-SAmS?x3DT!MDvmIBQvfh*ipG7XeUSWV#dnb$E*$?K*} zGsagtL**#mj%`QYPhpjaTM3T+oBS6@n%IB&-GXtj-BM{=w&f0j;nWQLe8xfAmM3__ zlPLk@^h$Ai1FlRMM_R=r|C~TPWO06iIX{^KBZ;Do+8LfEy_SYs^7LMTbJ`L(Z8-Ag zTXGH47|}|tVSbXwJ?_R~#>B$d^Z9860PMF!f;D^F0vX?82|BJox8r&^0G|dhKgvb2 z?Y8@{2#8m(`m+`QWPPrrAFVbB_j64rX9-au>BE0K!d=r?7alsx&$LkyH#h~dc;2cy z0sf~;>-eEV!WZ->ZpEYl*(D$3RUOwQ1IuVVS<#^)gZaRr--=q?ON{p5b!#_*z#>u6 zIR~^k&X=3GtE=jwixMd!D$-cLE2fe-$h&&%?8?R7&@5^#=6$ggW6M_=pto59|Cwwk zc3de}cRP zWeOT5A)d60UYU4f?3Am>l9cvgOLMj%dv#2K#e15yojEF>3*-ngjqOEm0Gk{E=ne{| zTY{_F{TfLgSYWrx@PX`2#mFt3ikN<9K29=Z55I7PQ?;n$^-sMn-B>8PYIvoPF zMs_~rV-ZB^eRQMxAi7Kxy!jGbGk2wDeld6Sjd7Hp1lNvFw^Ox_pgXl=o|c%nktm22 zicSTcOA`!|`vJlGZ*yt=~KMBvOf)b(yh$JQ0oPMCDwdL&CpvS&7-`yi+%UWakh*dI`8e`L6sO^5#)Z1g@?91?=&*84_4@(X!22%9gy4X1q>Dgx&Wuc11#CtE9ABTI#R`+&NQ#}SP)_EZGhaVvYc@RU z8%%mydtk!^Dd{j%ock9|QgJ_?)9i(q_0oa##k?paUNZ_Hs2npTpmr%H21N{Cq+U=P ze&@r5Djm5M=B{DU{PyZqq6hvS+cGu>ZP)LZ8o83$V|BAX8*=pv6kH~ z)XGRqvDKWhSRx9hUw^k{Hy)rXU*R(PhIQi~;fL8FrU-h!4el+-ilOG7d_L@=W5YQb z@_L=5t`3HQG6`fvefvY||RJ*|Fv z;MqR7!$fgO%$#T&QveW5(7s}-wQ>=)yx~AAUf~O}lno7YC!IIP0o za9M-LJx?%7b=j4JBM`YA;&p+oQ@IVA($%z|J?98D_9N&9I^tGY0G~eK7)=|-3)4+= zzS82XgudC`WA#BX^3HDWOO7pb^N*f-UxX;tWxJg}Ih9dN4$!QhPrO6bXR3X;l92DwbIt;zCw6lEL>=AWy&n3$2)p2vQbpa z&}#4e79FGwEJD8{Ts%o~F-JXlVDv(o^s{i+ zTi;`14CU{QtZPvV2%z`ZTNbYK3$KG_k9-<#y*cr)^~2m5)#ucP&hiC1wF+WvMSgoD zADsdK5o~9*?Z3pI1UJ~F7zEID zX@U)4v3Ty}be6*VdY%qh{syyO1;?mmGFMIeM-A7JIFC92nrB4C4sU+=?7?~o;rywg zH{a$~tKe8F(c}2k(_3>#@>P_rsMy-Jn>7wLIfX(w=i&dPFk1l<=CrD#c&@olc*-JT@+!10d934Og*9 zCe?LmO}DQ8Lbe$p7b||zZWE>jR>%dz#1Tn)1T*I-hDwy6)r&gFTbP95-(% zoa+5f$%4QQ9}PMM}iMi@D;ApBz+Orz;GYuaa|LUb}CycEeK7la+2hHz)KA_nE$`dJ*Cpar{G zrmp$W#=*qGX!iW&S?LVS#q(`X^xHCr5I&A#?N6gOuy*s98-vf9o?c!EHRJ-XB4-P0 zXPoN_-dgUyuecVIOQx7&B-o*4)syE?-Z8F-H+<;UY~uspZ00O~NqhDOK|f83U;( zkKX6=D`C=qJOzfw=u)Rcx8}@WT3Y2b^UJ^YyUuqq(s^vjI0#G9Qadi?3rI(|6hLe$#jgp0`<>TgX{tv=sAG!L4UCJ?TzlBbR97rWj>0d!LW|_h* zXM4$e4$0c{kd zHboBzdMOt5CNb}P6TX^Hcc#agkz0XI-D|Q%%Z`u9N@vUul7Q*-1cJL_+43jD9YOt} zy`af0x}|xpfTokP(Rk=l{qW7JBc7Dojk_6j``Dgw*nMg*glJWYhG)z;bJk#vY*x^z zSe3wI!K}A@T`7^4+F2#_G^(%>U?G1nV%>OBH8}8Xr78FIauRci{go;faSnLVVUx+W3z_wBp)#yuLnekTP9S$oeE{inQ*Z z&Rg_izoUk2ECNs&cIonwJOj)dN%mn@m0b9;4@K4q;;p}qX+GpjqT`a*0`4V1SP1c( z-G#Bel)L`pt#Y(+3zUmviK$-p2Yvab>dZxBUPt#~BPgOL&G4@70__B926}{VyLp~( zD-_VDn8g``_mMNu3>K9vyJN!*=mGyWRGHB_1@Q&2i64#T5LdI3pV-VIIx(}7E0PQLFf5Jq1CY=jR2q3yWwk)*>Rejh4({{ z5MFqCZLOj>EXBeke)gxvgUpdctK$!&AvxudfX~Ky$HH7&s${_n4dHFA3)3GyPL=(v zlirfCflr!yidWsu{>)}yi+|pet+sAY#_!Ldv=)364P+c4!zW2 zwcy9SX}2^(d=Cip&Qi>8F|uZz3UXps)iU74Igiq0=|Uo;9xc)`SALDw)M$|lH_6N5s+ zS!N#Or{Q!pI$dqr+Q2w!PW>|Y1Q#R5KNJmF6&9~4N`-21k{3)^SpNCglc6~Ay#Za7 zt2~(#jiV(iUO#tlSgX)E!h3p2csdu^3$_*BoLjee#foX}y6B z0`G1d@n4Jt!m!oa`WJQNUPx@nI_kIsV}60q|LEb`iP?m;sRv5LHN&);6*uo)s#lq( z6kVMu9s!7|yt?jpF85p*x@P2xC+dMGTHBPne}3=d;EuA#3@UKa;2#8{NJSsFOgYVL zXco@2kXFt7KC$peWn}NBbW+M6J0rHkAMcOsH|LLj#G!mfT+WstT%VR~-L0GNVyb6# zu=JwwUvtGFz;lOj;fu zK(N3RBduI_rLbHouOYwKj)m4oveZ0d?vcmBDl>i7W26KgVOAKUp4a)~1IniGu(PI^XTQQ&fy{PzI& zJ-YcRN+dGc3n{X%?aTYg-{?kjD9?p$-}H9J{hUST^x~8lify5DZ&tu9oz)RpsTrJd z(=ET4Y&`9YnQ2t{xdP71dqgXO{3yB&IEt6NITA9VgxxzgA2C3tpB>INxAtk3-|(ps z*CDk)Hr7X4JX_h%KQv5NxJ-mPcqGBi#&;d{48C=#;BtS`=S|+Izp_KcpOZhc@r13SyfCAk9>ry$5nI>{{IbxbaEd7bt?sXBQAGi4rIhU1DDpCS!y^aACDb$H^J zqefKD`0OGe5b2GdI{kuO4ve5R9l_{FLuW~v%rch?M$vnGb@q7poUQKm&yWP2S*$F6 zS+4PJ{o>L4wa?eCv-W7+hb~*&W*Hq71)2Jd&WQ^@@vTn;p>2lxhPoCTLq<%oKE?G< z{YPdhUj}BN3t#E))5FZ^4I>)7E;oD?(l2j%I_#=Qs?AtjwQx5!56CtQ0?qL{9Tp%) ze!#beT@DeOnxOg_h@XGfrH1*M&@3eu440}qG|p3hKkiV!Wiy?<;>sVZ#iKJaWWG|q zeD|Dn@oROU;6g(DrpI>xxjJ}R2jq|Z6Rp2Z-ror6ncmXHs?aXgd+kyQ99GQ0XYs$C zL5_#b6gG!C!F%{L)1~gg_!)lJO`{+C7dHh8U+Z3hZ*6rkd0o~(i)?-2``g!E8sp&; zE54vQ)J2I%tNw%< zNtadQ&07&SPDg&Pdjq#WW&pc9Xud~x-q_A7iK(*R3tQm^7k~I|?5sr}=6#8;7uo}w zZDv6@Xlfwsk-ze?Q2v1MWBrH$heQN>BrP!(hfsmtjr>tzeDRh;yPlQNLsT95g%07m zLxtx1;R)7Q|IwN@;tBlO zw2KaOpLga@NcO!xkIr+F~=U*vfBu>oc+MU#NAeAti?g(bNrmB#7zsrsBcR^xJn2YrcyUin3KRr_eNHG z%Gcb3JHrI^xq-oNDK+ zZ8zh9b!uRQbjRecA&#fXFuG@8avFwcv?c@CI`}nzf8XKTmi*(2eBuRR_VN#u@029F1W)^6j~vnE`8|TkC)#Bl80}_- z>+jm0i@yJzi&pIry5mx^H^IH4mHiN#`?24cbnh^S}G zC2WQex^_VR`;An5y+Mf|Dy>x#;wmRT=5rQvW7UXYH9K>QcMXwC-(*#CejLt{FFvF3 zoM8SC5V>gasDrD%A?N2)^;V||jx-#Uu}OHY&95Rm2k+C#(6KF&U4=xa-5f4@A;u;M zd%ffxH6b0Y?hY|qBaLxXU1)cDLf5Ro#h-W8rnQZH&&Icb&>w)Gw645B@VY87`5i1n z?((t+G-4VP(eDR0yezq=@1N`6qIWn4XS;ZivZ;XRqC}9|bm!a!$veHReM)uLGC)6G zc^0m;yyC52;Tj#ucjmuE3jJz^71M^r%fUQX#dL4({p8ZI*zceCCPA_V@oc{WL*JXn zCWow8w&5BPDSoIM)VYYk+x)rX-Ik7!nk7fSq-S5;*9ccpW=rFZ7is7z;m*z>*swDn z?wn_``x2Tg{8_YojVBra3pms;H_`NxTS}nk0tCbqB`QkCo!Fp%jtEmNP&LmY-Bbpg zODl=mq<*6QDSZBxL^b8!03|Lafdh({yKUE>tD?zibVe=rhzt%NIFbskZDT-67}P#z zARJcuW<_!RF1R|Qw$?2RwU$+Zcs!!$wHH??_( z_QCepDfYgdkdx|x`{>Wd%$GTpvA0QX)a$F5WH->%omo`=dpC{9u~T%4^1Q*GA=`$@ z^A;%NWKdRho+%jrgvlxvw!1*2zz05gTx$x-Pcza9Wb zM;0EmKAigIE`ip{zpTvbzY4w*cy1#2e;Mb+U?V7a5iuHI}sq%~B|e0nQ6VX%`) z3m=BM^6zD3qsn)owKwdcok~Sl9LTzQOVM*RZ6%XOfOT7ALF3cNu8GiNin^4MtMMh4 zA%npq|Ncn~6-NqEg~m}QhNdPBwdq61OC{EB2(%>A3=%}Ufzro=!ZAP13b$7C z`E-;kLZxIf|HlUJ)XFFJimC^%opq{m1~v8};fN}Cz&J(9epVG8b+{=G<&0fLJqr3B zPSZ$p8!>+jA~AgoJVO^;hg;qD3966sqP+AIUSCO34LWX6Q17Eoluwa*$Qr($HnW$xSReq$kw5f^@aC79E(THtv~zG%bV}mP;MlS)6vQNQL>Lx zy(@n-Dz>BN$>MbATDVcPtCt<+VA>P;4`bC0l^e84r4eUudAL+&?LoWh1I}&|<1_+y zrGUdjJ|eaXz$jGaQq{K-Q$nG6S*Vm?CijvTe61zk6le=`y&E>XsW?`*pKJCmtM}VW z(Bf>;hm{$haBpbhU~qW$KFoKO-k3J^dDh5_4c{Zz|9bZG-U4`ABQqz~8u zwAc>Rq#(jia9WO3kCn&{vAP{iZ(2hb-rMG6bkpS)8x9v4LcjBUxui(2x3)SfFgd-R zi>Z?xii`hMZx64mTb>rfqMX+QYU&Ln>u>dZL)-fvGi&gcq_gI$d{Bf9dcC%5hBH^_^ zNyv~n7LFj+HyHE`g|>I-{TTz(A6+1wTYRneM@h(TNO24jX|=f(JCzv3XbW4Z=X)Hc zl@!;%)*;lq3%=a=2J1CE-$@!5l{&cit(KxhfbJC7D%&R)BMO?}`ehd{M>n~@5;&ud ziP|d;b>Q_6L?!e)s8fdhf=?(FG@2ChW2D32PB!I7yJqDd$WNCyX5nJh2dKqngv^*F zE#o{wM>z7hi)kT)%*rCC%lCBu4_VvIpCS+=%8m$gc_UfdyTkujp4)#HT*$~gN~^|y zGFaPJ`@i4)uWSE*{gv;l|M|94zcs(x_J>^scC1}D_2d8evBodAjY~laK&n{} zdm=+m_&?gQ^7VA)_%>LcgP2urmf|v`N>KmXZ^mwy7;jsk0<`Vg#9s{kw!O^&b7!Ec zyjbKTQ^oDLoeJP@r>%dc1YFuWmapQNVHamR6yCYLV-RGVW1?x5tzyEOs@jU?bslE1 zC!yKk7{9{lO>}MfntwT)KWLUlB`?$-xV6_G zQ=tdjPrp(j_f5#rT8K3z=3Tprwwdh;$mW^8IZ5h1g+_i`f^{Z`3u$c48r9eLhq~n1*%QLdT-w*pAh$j1 zvIKIKir|;#$h5UUvnrFT*=DK6jta+r$r2@*}kj7g5WTWY_t3DbjO_zm;6zE94Ps^Fmt2p4yFx+w&qR zMLA$r1fpv#o3Yt3=(Rmi4YpHBP0=xvpY!8^_7QKV?yleUCxjP~M!QAljYwu&CeV98 zc~SjFn@1JF?A7C4pqA*&yVyF{P^2wl*6b1#n8b@LCZpdhDpufOL||RNx@^L!%^!Rd ze1tRN>?6S`_=>FW-Of*;nh7~TGGwGO7_f6X)IK7Vcw1M@Ai%a)5snC zoWPVdpCk2;yyebkq9zUq$2 z8n?~R@Y)-PM1M)<{_EcEVRjWrB|X4jYNs2fm_@9xm5WciqrwU3Wyf4V`2qC$+pZIE zo%(W`6i?zq_rl49n-m{@qh@pJc=)0B&I`#v6!M@saqW5XKtAGzAYN;w`PGYKvUo#> zx9RU!N*l4&BO01wiGyFX&+rMu`}bQ=>s+go8$|3ky4Zb{&78@IXsOgpYW6N0Jv~7i zpHx;&GQSHIdL*qdGdvIF^G70g-5OlBFgJyd6Ez0(S66@SXqT$3j{K#bEt{MA;5Y~Mtn{wEQUL4<-M_zkS(o|JDoyO5c<}5tcCx1+T z*Ywb;{RaSqMe{l~`=;)+gfC0T#0Mcmu^KcVW_Z(6Ri}-X6Hfr}_oWF7m63Z%l6nw& z+q*d@A)bAjnPtqC7$XUrSFXC_X+dGWu)fMmW~S4>yi{w=5TKLlsEr4O`Iy4);TEFL zzN&mz&JC6FSYOI?_tz?sj=YJ3j}`-<*{E582bQx3RY}kc_bG+yQ15YqBK)E z^H}9+X>xhHL9SU=%%bo@WyLvSP#(_vSjbOv3)-OhKTl(Q5&aG=S8(gFU%@JEqq~>d z8OKK)daNWIgnlM~6sr|T;Qr}9wv>+PtR_v=**jMK7$!J(Q7NP3WxtgbN`CEfiM+1a zyLLz0QNDGGHnIB+ETKFB=Hom&I(jg(ZuizO0@Mz02U)So`zUQEW_H{5Na@*+n<70q z(~3x1|2uCan&*Z@&LU%VP_;K z>5fB$$mJ}UNR(RP0kp@)V1uv;38r<*VUMKNW8<8=ZgRM#wmor^UIfXeH2Xa?mr(X) z`QFQ5V!GS%H|sLb3y({w%JWhr+4tqk?;Adn4SG|}`kRm*m4Bk<-VKyYZTBEMrDdLs zt|Q2nMI8X?0*XB}q=qlM8kahD7VmYkm#C6c*bs0|B7#Q(9F;*$$GMn@D?0Aa?0ela zbz?qRWptPfj9_;AQ4Xsns=EXKQNCLaI)ZVcnEhfg|@Hz)5nG6s^uFQu*D^|PZAiQeDvW@`-N(vORy1qj;hS?q>$^T_=k zj0c;EgN2MnsZ4*lB-#*V*hhi4^fB8eF8pie_n@TQYOBR;N@`&iI&b7({FrDm3603A zJVEqWieTIXKcLpxfbGHZhZ+VW$xpDg z&qr>%B~1#+Tz`I0EW*bLUTCyvcsd~Hml~jXC&&*1emf@PU@SX1s%Hx@j!2~B1(MvZ zIxR_iTx~!#K4=w$Se|FwblTOi>aEADq(10KKzhA8OYyB{g(;w|)XDnk;eU%0;SxSe ze-?%DLAj+)AFE71@Y|9vpRexpnx+SS1zSOmmz@tGnQ5Nz>V&sVQHol3ee+QeMcKxL ze6ng7X+GGr@~Jct5nMI`-JQtWoHyz{4xV>!v1n7citVT>%+Nb zvO8`0`V}pyr?GFT(N}%%{0r`^8B79S?${^^Ts`+x8oXlBAngHP$~~8$=X|&@zH7wO zI3mxC&|}#y$gKx($L%$^^6i+DYEZ&^X)@~8I$cTIleD9NCba5oDWxQPM1*U3-AgP&WYF}r z(Vk5r0l1SZ?!f-09rUT8de?8qsE-G@>q1R`VWHl6_zUd1i?0U2uTjGd%f{x)LO}Gd zk8hi;JYGLa*CWKO8O~j~46?;CYN;*5qi?%>w+=ZQkaI?ddoZ2!HIpEyS-DDg z^vySD^c-=8bIONuzoA5u2%6VN=UWd2C)NJ2imAON=#>VO18W0LtbdFj-&kh0M7Q)Y z>|b;{tl{7NexQc8jM!qHLwX>Td|$KxwIWo}yib0ilCE;)p0!^wC4!jXxc9=Iwvv~- z=1Qk2^A&^Ufe?B71~8Dq<%>^yO^ima2K9dsg^~qTP=aNSs9?Vp-70-xQ{qG7Sx~x& zrx+e0=me8Xr0|7Q+Yo#Cp%%nbw(eTUT$gaZl|wNO8nVm z-Z`@CIm@Bl89GKGMK^vmgkCvK6>_*#gTqhU7cMrxbY}DXrHG_Q09(50G_-M(skl@B z>U=17X2c(~m4!MwDkVe;&!Q^)4ZQ-lee%EqrvF|{HDa^$YKD`)37Zf#jB&2$irrk! zH?~}2IXTsnlwe8?lk?d^xNParnBne$yXQ$a@3)l1J0Ky!md7f74s6WMg#Xg4{8W0H zQ1o5#+t~01S$ASo7U_I^NB4TmH8dXlWg=KcweDIuMRDFj_EO<#FQGmrl3@CKmHQ)ngV6Lk7O1vqce4ySciQm=?`^5vQvhAo{GWBNy)+tl6Ip7 z5xUzxh9|5wvS96slUAuKwfQ1>C3iuG>l{2GY`t7j;cSKeIdK$J-pX?y{Y=OI=mLf!-W?dIuJ?1F@Lh|^%Y-TGMC=^? zgH3jc2hll4A9|IPG7e=HF1|A8V%l`NE5UBJ+XSmDwrxQ4rBbQ;b%W=~=2AfiprQ() z>tar+9FP06g9phK8_@*z)TuNJ&KOuHY~_N}@@YVVGpW;Lf!qqBFH*WnKKEBb013yl znBSYXmso#MV6}0HXDtr@IkBT+=FE>_iEkTGul3W4$f_%m;Yc*&g_T5z<9)@nI@vufbewr%mz`7P`Y$mg5Y}g!@8{9rC0G zw@@rBw${=!6gc$Rl&}_G7KooI{>ATgoJju%)TPD1=?7E(H|!SzA=D2YUi$G}kN_*; zx{nQ^k)?!p<3bka9TsS}WtIECdSQ$Wjb`jv3Z(kW7Py!GB_4DB(M7NCmi)f!VMtbO zdjP8t)fX{yYPSnrb^-f4x#&l-(hS>-U^vb=$Kz_hsA z!BUS#}t=!{36OPwlb_W~CsLL}_aB3x)0(A=wYS0!L+zF*AhW zAlgQlLeNMoJu}ILv_f(iIbay#RezHudX&r%&F_e(bxQKCfkuDZ^2U4g4f4*_oJ4{U z#~YUVd}j$07Y=|TeOmPWO|L#G)cgYlx)Ty- z0Sbr{a^`C@zqj%`wn9VDU4Epo%9&3DQvkyMeCx50@?HOgz$;R;L8x-maA@6@S58Jf zo{b3HbuwJ}YinqS+Ij({DLtffh{G2OG+h4>-&}nM9*F33-bTDwG*Rs(ccUHa2Dlxi zo6Y1fs%^vUBGqd`ZM%&G4fhu#nyqdAb8uIm#BHwpk!wQpkR2ssPh)eM1!4LN;Up|3 zvM=g*^c*DmlgmBjopBdu`-6Kf&)eP#g23~dHQzUaz~H{1?4>Qs$M_LOs8zd;h4*h0 zu+{9Z%`B2@3b=z>oJAV_g3x~N5;MuX!tY@aqAyiWMRt)zBeminvu!Wkp$A4x&zm>B z#8(`35+$1>%p`7;EZeo=F70zVI_h#S&KxxHLeTC5YB$xdZ0^-5T>MfHB8fL`O_f-N z_d0H&4tNT>IJx6Ty{i?*?ir4me5>dh_ueXEF#3%gK!kc3{?Q`F!F%KC4JDplZ1Zi^ zta2OJack#P;D;RW3mQSj0<4QtFTktH&@}{bN0akDZQT+jwnMuKDo^*qV`lqgjpZvw z{X9u~FlQN{4XK$|P0d#sWJw7w{ah&FEB~Wl^!wZYcQZN_h$Ce0DJ%N!P${u+UnTVg zhwi+@b&P4rG|X~#c_LQOj7iX3?{9sNR-d40hdEO8Ziv{#;d-Txp=O?L4P{S1vlGA9 zS8yN~i?n7Plre-PsTHxf2YH-d=@c3~-zJ;9(S@&Xm8D`E-h#~s{`SDj+$O_ptAE>q zn9An=lJCycK)Uv9bGiP1buMAYcG+Vi`_b=KAv>4#`ey82yh|e;q?y@j|4T*|2dwq3j&2yK#$>lG^!WCbF*4)V{?!&uE~GDzjt@2(6(TF zsTT$7TVKKbvCR%OUyuLof?PjAwA)_V{P)q1Hw)GkQPOmNlNNq%LY37)!2MV7XhV@X zK}T`3w&5{?GxfPmUMG|`+iJoWD#%IpG4&Cu4PBovZ}&w?waOC+ctm(m`=Pxn(vNro zf5B?!_NTwfF&`~h3m4#BI;cf>0ZK+`@6DXq&ca1EJd9p@20Y4G%U+<0rgm9Ldr|}@ z`o0u=;S#JhIb5WQty;+TbI~n*{*C|2sJ<|n+G>j{bY~QYnBSt6I_cWwwCy{}57KBQ z?r&!`ez1y}0%=lj!u3lx))o8FaZWSo-t1$3Yu9GNuPoh2F|!Z%d(SNR=ySzvWcl$D z*+E>!(-=#3X||AmJxo{oUW7bW=K1GhOTYJE|=tCN`FYvFC$%D!q=|R4(jq>e`oI0^}Rj}QGtUr zxKJQt<~Bm=#wl2wIyP%l)7CFQ2~*0>3^=dmZ9{101zdyP)dW-c$TZY-UT#^zMAiI$g;Hr;3@#CtYH6 z4pSaQW$g{Qg|F;)?`sf!Eud0%j)`rLA&@|RZJJz*X;jj=&pm8n7WO0$crRiwAS8JE zbDsMj0v=oX1`1X8i(S)sv*Ux7+J!XyPXEgu*|TACOAGfg*4R|n+o)2G<9GEpo+gFn zs>L402(~`Kg^W$pUIRG!J>4G2ms?Gu%^X)pDZ}UH2Eim5kqp(sV~%S}ImnhMAfX(O z^$^ZP^ON$ZkQAht+t7hiB!%L`8U=S(4A*r2zuMk2sHygg9u-7TQBZjWkuC}k}2KnMv|~9$*xhrJ->}|?H~3BYY2HCH)iIOV9(4Lf%GJdnVCq?U~|N}jKaMA@9iw) z@4LRt=e|W#FdL#j>1lsk(J#g9W54YH+)3=rgn?ky{zuQa?0X7o7%iC)gGB^H8$6yb z0^gpNW9lhS9Zg8(%fViuxmE(-e{lc?bM?fmDhfn=iH?sI$Y^ktsoCn(bcs_YeKUl^ z=9QyodY6p1igxI^cM(}nHEsmFaY-4Ecgie_F{DjJy+9ZCI|o8X^4z7FLL@Qxl7yf1L6HSD)f*1L{4?k90EYF}R3vZ_Y8 zYLB%%7Rf#wTR0_Ba5{v+EhMyHV2k6Ov8-hnX4|Ivo=OSmv2{JtMLj>NYB5{BC$$L} z-pMY5e(iV(*fLLd?X?)4vtkb1I|k(w)o(%r7R6?`hTR7C1{JxU6z#=kvKo878$}T4 z|H;QSezH8C^fRAB1hAJK%%@2kSGQvU-knAH!EECOw}~Wi482U!_8L%LSN!yKvVkn0 zodIdgnuPO6^Uj|2=k{UGnnC-IqEASMT~IYgbF>)y)Z98W%vi6g)vGqUFkHZUsd@4y zi<$vH{l31^(5~r$n*+C4kCA@}XdIZsTayuk>My|HUb_TK07to$!CKbJhtHr-Z7F>o z?$wW*Q9DWUbls(Aj%10X6TYCezzq|T#loz8lTn~=I9hxwiV(MO$u2wGF0I00c;^u; zE?vp(%U=U85={rt|^9_==SDw{?Y0O!G+Yg7;~{MB@28WNNjtod3XQOp}6lmiRZfg z3*U^9+Cuc7ZKv3~?mdkrU;G5&8f>Bb7}&Dw(59F#NdVAud1|H~2@7qf+VFz_d}xq{t$HftRlo3>1Ex1! z!~a={OJ@BzN&2~uNHuYPPkBt?C_zjZcQb?Wb%)L;{}FWu zrP2%KKpQ^87;n*OSI}O{mcvxt6GK?>q;{d${yVkVch6@Mofh?Eg`-s)!=uR9~8yGW}M`?g1x^g?A663xGJDduw=2F zuTU}I8``5r9{WFg%_Hd(OtI<*Ul878tTzKmJjR$2t#Q8*F(R#FfWviPQcsE6544pu zZ0MWVTS`B8sM}T$FHTpmTui-NnS7V{H<<`r449-H9J9iSw&|xU)kh5g?M@n750sN^ zaknkOsov;3WX3F6<4wa{S4uDm?SA7^x*jbJQCR+mw6GQ6@#f0dq9Fark;{UH8VpR> z-eV8^M1&~N2HFBnN@`s5iLE2OXdei*J>)?A#EjCCoKwhX@>MLV#k0~e+a*&|39Z2; zgAh~fWjL_YMPF9gQaX|7<2tm-{kWJtr!DeZ$1Lqal4y>51n$(M7dJ3fue9oFy=`(n z#q4}{7FyVD;mQ~~lic9hP}A5U;Fx(oTob0X?Kw7+>Lqa_yqgE}5Bu|U#Oo&skeL<8 zFrI>_yM_(B;@<&gUeZ}PnV#7zok)n&Tj+lCX&CbDHbR~9MPFQ9d7{TKqJH7c(U zrp3*$OtDwO`{UE+p{=IK@Gi|;+sdtS_?OOoJCF_H=cB{9tFy&@qK{0*m$#O5bv4p6 zP*Zz%A0PP}qE2u64wfAo{lel29PKQECw@JhEB3dpc8v%QwM1F_-gJhCs2h7--U7qC zhsu&6!BpHHZxte!eG^b=8A!_cur5~gPN21HLC9fS&bA~LH4Wl+Omr(M3&YQVNC&>a7Vr!F$52!wRr+Sg zR~^m!ehJc@#;cQ;hFjU$-Z4_HEBth~1b48!m&;RXG<(mRZ7|Q;{}TImb7V$Y>s%%+ zK22WxPIAYz*M_XD;*Q(UW@{+*t158ju_idAfpFI25nmpB+wjoZ;G(*AHMpfpR`R{U zddU^x3!}^rv=Jrnb_i!h3t)JCd$c21#cT!JbWEkieoUq;6sd?Fmx{At_Q!3A*ZB(l zr*>ho;tr^}-4h!{svI|Cx4~}!Z1vO@l(wsFj<@A?@%}PmIAc0OlI?eMiT`r{6E&Y~ zxy7`p8J_l#tnWcb``5N}-cgFTC1D>|Z+)2tPjR;I`z56csEv;xPlD3gE7cYrG6%K_ zNy#9=6{_3Gk*Cb;BlW%{1QO;d?ZQNtiTmMv91GV0rN?fBH104|4*uH5)DeQ6Iw5x5Z^89d~Cpb!A;^}<|!v=xu%u{h<&Bu(A^kY zqv_DH^1k9rNt%mfuBXB(o(rSrVK@vZ;!^!Mj3oOgn0y1c!F9p)4 ze^1?7?9ne=9GtuNA-t6%(DOM8ZevUuQ0{LS>cq&fsV5}yEsijQeGB83K{o1Xn-q+i zZJ=)i(*0Gm=s!HZ&f_8(`=mF>5LiQawM(I@|P9!elp$L-*A! zBHpkm4$lj!0cud^MRT}>Pa}8T`Brto3GTh@xku9?&$G0}OU23x+BJKFXQK1sj!6e2 zPRs4TJlN3=paTPJWar2`+i*q4zKLnKG>*k+pgGMup|U`$6wsKJlF`j72VVDy3%*Ie zRqr${g4Ogg{c+`{ZI6>2=E_ph1LDz;^WnM!EN~86_ukZ3>gW@1OGw{OUX>mkD<)0I zY@n5YWvij8Mkp_-rH}~zLz+&YUHTp~HP?PFrO%CIY0#0FLJ3`sobN!THNT(UQ*(7y zgy{x1i{vkUD@|^035bvbGrqcc_bL4FozeUV7_y?sbbc1~PZ-!;(OS^>0oFt9HFoW+ zO*!aK1~@&s{yc5HbYP`LxvX*^m`envlH9(!LHJ%g5U6qu3i_xJ9RDt)Cf5y8H2RwI zP7l51`L~X&LnFTG58M;#fmUzXYjgl-F4&M>_DrE*uuXaPovHTr(gZqFM{Mf9#5QoO z>M`4VmaWgGdikL3;KrR-CmkFgpl7d_3r!8R^;N2t;j7btF48DR@;{Rzj^!}A#M8Hc zS_A5_g6zM#7ZRd*@Mlb=?6f(;QW*XZ%N?S2fjN9v@c1-;c{ZwkDtvbmnSn|!q$*L< zG7(FkF#Gqf^}1N|l0EmT6sdBZ*2h$_^UAv$kEJgyq|jwQ)}JNcv;`%4>)$~wKE;=3 zk|v=Vv%!!t*5TNxc2-)qP1b_Dn24$*hd6Du`g(t3g6xul;#7R_Z!y_#p+_7Y(nAj;R^k`3m{-iQjstU%26A?KZXl30L!F!py0c zM5|9WyN-sLd(H6u<&tZE7uXdKzBQl4t@&27Zg))-iMx+Hv%LVFnj!I!TN~2@vXCSD zSAxwHf_O@T7zC%*H6Djzd7n}Qnv{x?kyAA=--F=RA-Xi9sSB$xuD1bnp4%G9?i~@| zAv2+3t5a-HtZf=$z!oPn`c3V;+g#e3kc!%UJ95GONIwlg6@CH8YTU=6H&4eykKXSk+0ETbs*OE zH+tF8nDp3eboHxYxl8`H!P)n;~OAyzn|m|Egv-FmH?Or>a5DH z#x}UVgut))2t4rM@sXJ$bUz7CC`!_9jxoG2^`jZUX6D1i${d9r?ANo)XQSkX8@B{yWVFOYv$BcWXtC$4w%gS2q7bm(fOZn9_y`_WmBdrcLlnRM< zxrDVg>(>wxjI+lt5H;c_OK3`94c{>D?-0+r0Y<>K7g!eCv@?ANdeOlgFV+lj2lPP` zIOXV7%b-1AWA3TNBf@}G%DPws-C|B-kr~ZTfB#67_?2l!3&vkt*S|&CcqVME$0|Tv zBSPh9Mw$hKV7Z;Y4{giMF_cO)-5lr8NM+H|I&xUR%jiR@X9&|i`keG5Ao)^OUUBR0 z4P!``&C8i*y@S~Sb+(IH8CZq)>4P^t_QQIs@gRw2Y8pCeTCBdW`YD|2P>?pSu^wEx zad_MApz7>6{RWv0lKv-X4#I)#eA}f0V}3ob4m*`C0EzEjXD9<2tm{s>c zpHLoKDR0V{#E9ge4R8@#mP;q{++7-D29vF&#&|Z;<;T?Qb$#FUk&2^Mp|F z(7&~lHm{-g4q3a@XdOOV5BYsgz4pgH3bIcfso&WoeQrt+$m~D-ij| z0C08L@At0!Hm%%{Cj{HPemR@Qi3Xce*K11E?eAiC-y@43;BU9RDu{15U)Q1I4KUB) z%_KkZp1v|S-4AvtQT8>zjxRcB%;{j8rI(Sa?W1Ie5h@6ogNi8gy;ozv8Aud%&x+-; zRff^C(=CXVwu`@eCVLZl$}PT9S4xW9(L8p)Qu|)eZj~b~AUQt3AvBZz2pP?B&JR8?(+1xy&Se_DTM5u)1W-IXP`fus8 zC@dG@3hcy0>_B6Cg?ENlN~WiftB`YNJ8JGO*J$&O1=*W6Dx}}#eDIkmGL^(K3mmF( z3dl|H97Y^tGR+yE!a|Uo2Ne&{J_UShG^GvrwJc$VRI7sjnR5%OAirOGG4sv_Ks~gZ zcY-F^$}pG_{tsy>EaV$cGOEZS{C(Hb%NxHIA9l&NWMj*lqMr(`5B>_Z;qj?yx)Nu- zDGybd!Md_C;Bh)x=<%AJo`7cJjsxc%{52J$63lCpv8{TCqRcLCck2OHo8;rZuv~?+ z$S?gSw}>$i>AE9F+iG7{I?i|;f6^bWxt7;Aho`Tm^)*VJ_*u+x%H^ZGJ(H9elM+9YOLQj zH>$v0U1sqj(Je8MjFt6!%K#^1{7s_d822WHg&6?emZFKRpGJP!z8rNW5zAnStQ~Ef zIcb$SGQg$oOx(%-Rr2pk&x{=f$h|4>s`g%FT>4Fq(E-(hs_axdTRo8PF$wPxz7g3< zb*zUx)~I8n5S&mBG<$sfVZoJ-7L?qt8=jNz8gWONjd9q+#v;k=1An|8n{9Zo@EF`w ztmM-@Zffd7K1Slh&6vBpm~}e-W%s;m+nYC=pSLVNdsK{Jz#ez8#x3g&xjgmhTl<#Q zk8YkP)kK3^B=jCECuRkmBu5p)-r9Ngk-tinc_01hFfy)keZt@$>`HDR z}t>yVRRnAM!HT6`G}|Oe=1)=gsl`$(u1ue*hpaHpz+;b35Sel)bQ_ zSnr}dzdB{6@p4qQIYdo_sH4!sT_}lo&{3kOo0j2PBQx4;xb52Bwj|yp zkdHmXBqBvEuXkpT_((gRN(VvgUMq}M3t@D?hLUvXI&ECe?r z83pU?D?q}J!snoFk(hsG%mdBmFlR&7LF#AU!jU@P1|!i!&-62aC&*KvyH5BEB%j#ihQDu|?L_>xvHiF>cG6R~IG4j7iT7HI6?fC5$b@1g5+v zE@LNl-$Hh1ua3?x7*xjv-e3$j=0AxrG-_l{gpTI9P*UZbYAT6Rb?!mNR<4rBv7(7_ zA787*C86=iX{&ObECLEC)|)97ghe}@V1#Z}EoU~y_qXQ$+xL{rYbup=Ld`^iHdWud$peMpq?y<#CBPi1mSX;@TRJvzl2vB7>{7M-{*efNw1-Xgs^%D>|dn)A+v8@BMhP^Q{ag03a)ma6#hc>-4J$)~bRT(FhPxwhD0 zIFon=R|yaQF3tTu(d=Ks?Kr<7K8^XHfx8FyiJsV-3I3l)UkWWfT>Sk(F5|7@wrjtb zprMQ@Nmj#OB}r&??6hljY$WT?W?tM6NFM(4R=pLJ)`(hlYL3643RuXqI)$$t6CZn2 z_i7l6;^wQ>37MJ=T)w`xp!$+CMY(1qFD>lz(6o9|oNF&qRSel(+PXDv1k4uZ^W@_E zImbbc;Yh;(0=v%0lDWMDyhhbuxCFX--6yj*XRLk`Z`S@!&_9x_S?5p`d?=;k!ojJO zsnW^qGHO{|P;B%a0(_l?u}n_e;mW9z-YdQ<8k`Y9eGz3b-_NI(IDi$zAyK4r{DV8r zY+%WKbzFL__YgTzdbPlS)CF0$vus{kh@+lexRH>mG0tJzrN2)a<*l`x0YZgLINgof zY@rW4{FIVfpXI10y9m}?bPILemnTv~IQ(pPmgfCU??X&Dl^3vRZQB``W*L3Iv(dW$PzRK#pgP%MtZf z3k_@BvPO@2_is=l+D`ADF%V2*oMb2>WfYq$6Z=~#hE+P3o&QAUjYWW?tPGs;wT9Ug zukpD*3~}Hdhd(BH$HPBm6QtZ=rWZl_@_(mASCc|^BIg@`KXQ^nIGgx^&|l}Y6aJH;0xP(FV9T%_-N44x8#2^9_s<{ zGaf+k^u-@}Kzn)v+r-fmzJtFFl!+$WgZxvf2Gudax4mlQ&(4D#8XpkzxV_p1ZVp!1 z1`6LV!tMS39ikLx9Dr9fM(^+_iLF7tE?Vf(>p$VAmizICXWt>dO*AO=go_B-#7F)~ zvcs3adr1@MH*$MwZ=k;nL~Zq^e}`l{n8L>s{d)j44es-#P>jR0HhIFybI0DR-JNJ3 zAvSK6RNYg&@tsu=y?>rzPh5a)h{gIuzH>&WFSLx?Ai zVjw-P?V~QXK)d$deQ-;3)Qp?L|Wn3HwJt z5ePLo2>P8gZod6-b;2ZN{XN{nkP}Q*gF$jPqziW_BV-)bl7H_c&BeHe0PDV|;S*2! zd=xB41rnwd=?}R`3nB?-VF&IG$&W?h>e_XWhnfM+YoPrgo93N+rhe%2KXEPE!q94W zuz9AyI;WO|C+wL>LP{V_M*(S@^-*xB;i#YzeE!}H>Vq&;dw}63Rw$ZnJkj45K7;gN zwiibfp`Mnzq$RbC7NrstEqHstIU!I)u(o*)Y?F^7bbInLLkjasjCtCt!$bMmu(nSn zGrUR{j+@rryU>0<6FZ|Hz_}YKU#5^bb(b{ErQL#i5Xn%Bx80SqKuI3u{{T~-v`h5eH898U-=rsc%(g{v{OQ58&8!}ppS@>NspiwJd^dFok{ZSw8?<1 zwjo^UR>TAXEaKB5pFw>lh*QmCChk1Pbnd&rzT~&xuH1;cPnBK>lZArIo3i^ru)$YL z&Ytg%C~^+V2h5rX;vz+gR){ge2VN0X*&SK> z%Nv*7nkSSUpj=Gqgc&TP(?sZ@y!(pqM;{PgMtpsPODlU8?!@oY_!agD)3{7V9&wNj zS*dIb2FeGZw}ylutQ=ZJue$NtGeuR>Rx1#eqTmEc*nfFz zARsXJ{Mq*NZe5ciPR0PBC~5)8r-jS(BVXXBS$eAcRIGF#1<(A+A8pu$`_@+(=s_th z@33j-N|K%0V;&%B`iP0A?fv!^hCzypa&}QDKqFqQqAFzDM`*#8_RW5(yu$Q4s|Z#W zQzKsR8~KzW+_GIz^!m_;P@(}zFbDkmCs$sWpVVrFWGR3(soYwGPlvm0@w)-c!aBw) zT`ekTrJ*jv-#zhn9LlsgNOVGP%l+(R8lE(o!G#o{{W)4Xm_WJ0BJiWN6Gt1AFXryN z9o}=nt{u5r?~eVqW2S;l2lxyf@AoY4m-XLMZ^)W*0Vx=M-($SqiS+=j3^U$ud_9en z;eKZmvIKBa*ewPH%GR$CMpAa zFM;zx5*k&*{EB-> zU0&k%Ya3TM(XP=^MpS26lC2?M;MXQ%Zt>I}_|)tQ|GBcAY3`BC2>Iz@U39c?-MaoI8KNZl^cmBfCI z^IV_JP3SBM>dmXk(SiIsG&6cjAu~+iZ)Vp>LlfL1jJBW=ke#|kRTF8IAG-QkT1;ct zGZ}M{3k_$efqsbhl?xMJO)3(<8l;N-p{V;oAR}&f4pP4@M=ZB ztx6ib(vJJs{A4D4rw_F<5;3o)shSB}X$?Pcg{02282l3|1}gy9ppxmm?}~THFVp#z zn{^uybwQ4~!&Drx=^_#W<`I3ThkXsResyFK+9xWy8r2eSC-EU(rrzGb(bdcIkHQ|vOWl(AJX1{UFSXi!4%4EGd7q*oy!g9o4RBpU~D z`lVw|-q>Ks?TO95BS3<6V|SiUI7}soQ4d_Hfl}Q6v@86pDW*%@zskQNPq})t7hD({ zc#YhcC||e|y4x5p?ukJJJ}1Lgl$lcd*P6`cKCU20?)C%)Rru*|oY~cd z8u;Ou2HS|BlOIsI*+bAOB+2bcyEOrgi2*~+iBH6OqK2c?{Ka~Xs`my;L*fpr3A4Pkul_B7Ki!SHf*&>ShOIw=pR~q=lDo?_b|(sc#(5-f@qdp!Z6o%I zi`eaQ*9ex7t~x~3qIOKA$48chOS6%@m@l&C^(oiGeZMcX;5sXH0j?sb>V}O8eF1#k zw1L#Q%N@H7Jm8$&*njZ&-uBQn4V!nqAS#BVJLw-LU&s@s`3Zl=j(VO3h40;q+Qzo7nHas*ytv1c z2m721HWgkJBg2-U&$STCazwzpw5!(5A?Mry1D;y&863|!?(yT4cV|!Ldj?rk`+JLn z_ur$|WdlZDH#!3rfB4=+p>S(oPwgl(Li;bxV!@`IE4$@6kiCi>v>2Af1AC8n| z?^D>eQt-NwPypS2t5MDk1Qzl1SGvpj))&%9Z(kishZRk6lN!{pyA)Tg#J~`_Xgxqj z&VZdpmexqd9QsgYk&>Z8T1EZ>;Rlpasf$v;l7MP-Afb8c;-ZX~r1L=UFfid_bKIm= zR+GX?>Fn+vW#~_uXL_UGvi3)6%wxpvrXV*lD6YXOS?vr3Az4l{wQI)_T?JS5JX?QnM##Hkd)LO6qtB}u!!-R zpPyb0dBI@+sh;DJarEMC^WEd_RdmeX^jqn=oqfsoJm)!$;piW!>rH1D4Q$pFQu*k6 zzpfmzL!5IF9se+fa!3wp)A6xPPEt8xeNN~jd7LiNV83)4q^yZsOPpJVl}DlK2^)w} zLd#)KU~#l@U9NM_ zNV{2nQWE|f2h#hvi?FmWJii;s@UtO`cDK;#^SgCkFjnaX#Xne}FNEd%9B4Oc9a1|m z^yz^PH*PO!>WQ`Nmg)UAf%TALJLlA2>-+eHlD|EiwqvAa6l`4lERZ8wjxsA;w@Vs30eoMPUu19! z*YEzSlv{m!5wRj!DZP?5dvLRExr1vLWJ@N=0hZqYer?B&KV$4*$pc>P)C@)InS0U8 zpL^wTUo{gcS6R-?PM2Zi!E<)TJOb^#nsM{!*6m;(qUPA{AkROmC#%^8bV4erVMEP5 zO@wLz=C?X(&EGHe1{Rd{Y5XM{of?Z-{40K#_xp* z;eZLZ0u4n;|3nR)=54V5Re)Kg1J@f7j`>zp%u;dnjga;lU14P-jcRvgOh3F0A9Wch zn!5F-wf$AC4)1K->nPpgT}phNE@zaErbxU-^t7B5uewdvPw3zKui%pHhf*!OE_|?R zr4J#u<%ktqQ1Zcbp}577;rE|ACr>I4_4q(%|8+QFqNBjEU3(=tMQo^zXG7FZxUu)j z%^>Y4GM_W>M2=A&g)qPeQ79Z()O_P9+`97~<`w6#?P49Yp{|=WT9)sd)ETyrBa2Nx zQ$KJOc>RHOb<1IO0OiBB*9#9uC_b`9QU&`0mXzk*Ue<2DQV>>O$$ML2Wzls)d1};c zR!F4Jw?6B*=C9THjx5cmj2XkXp%f@#joiK1EGH|?3TwkH4VoNn%Q5fb`4%O&@}!^e1^v>4ofu_hn;t8$RjFC{5$5_1(iVOKCX1TU0&e;6F8Y=WqV@OQX3bq zn0T03RmxDGHsDUytwx82gf1($rZ!~R>;$zUbx}CpuOIUOQcXye7h-GbCZap9?|Q)S z2p#wM20*7xW}NSP9H!{ah)%kP(jGk{r>!5W%$`yE=F*z>36Sy&>M83D!W|HU%R!6z zCn`kVY~a*i1rJQr=%QEacZOK$h*NZ4J1s5sZ}x0*#|v==^>6l&81K+|_#SXXW#F82CM`#vFG5c}Co2$!t%zN4=r?-%U0Ty?y0j6h!wM(q)n+WYAkRH(QMK$RLR3Z3Po~Gb~Uhnt)8_ zz#dF_mRR{w0~h=>1ksXzr&l?{w}k0ow2elCKk@4d8Hd$W(s0(!q+eCwUuR<%UUlh+ zeo-ypFJDWJg+=M;5^4Il1Ja(NE{RubevBQ~RxwhQilLdk9nQxjaXBf??SW5r=QrLC zac^W~6=50~yA=LDI9Vn8ja4oAdey5qd|7|_;ha*CrsAuK37Z0wYNPUs$wM5MD&eE~ zH=I-#=Rfgosa=P|j2j-4wY!OkpcvZaW4(Fa;9f+_;E-qD!Od2bpwGX|6*j@LxJP)m z$GH=+-PeyC6V1FQxO=U-P@}(I=yaU4#=7Zs`lwkqz;LCg2H00TlyWO+ryHauuv{LI z-QR(mY_UNqyyd@H37c#g8uu_ljzfOAlNvlhS~a(Zwf$cn&$ntbn6*q7senB@HEdGq}4kD7s>X^cs%$DFo}^bbRHRMoAdMLCq8$5g+=_FXC-feJj; zC}V%ywf$k3^p`$^qlu?Ux|`#`z`HsO6L?`SXh=(ojr6#&8X+I;1TyWN9Z?8scGb{5 zDl4y~eJlr)zU^9j^18sHMu&@@IaAkn9#EXgDT?*E=l=GGp>d>gv7aSDv2F%x)2PsoeX~yE1t= z4EcQ^`&P}|y~mxnO^DAT->n(essi*1<{K3%;_ovuBA$5av&3n6fnQ;dyg?QmWzw^-{$az5j zx*FN#K?vio=bD8@+u7oxpjIu*>q>9l%Or>{yG-B7kFP1|%V`Sp>!$SYD{DjTzFbl! zbDP?9J5h+P0R3hBbW8~!#wBXy$CX9w7(}KZR!b{8sCKb3bm}L4Y1{l!je}~V1ys4j z=6Ar?J!3I`!DzKE2MIN|R@pliG4EZDvkH(A79#p}bK_#-5u|pgC0xaUCtk4Xa;4J` zU%luNJ??Gn&q8j$XE|P@AQqwdXo;3|O^q-SB~aGF$rVTi$kWq)O|_@{dR`C7I*5O~ zUTJ&;?{bJ{O}G3mz7K8>yj$S9%Xk=}Ek&pv#4XYLFwH!>F4#X343nY?wt z32mLDe=%-vw`+N5yD!C$+@E|#xQTJ`PSOYeFsC~!GIDH$=Q}1zpBVYE(oPrqla^dy zCx4b0O&1gy?ex#*UlV*|%73L^hIpMMHKTN&YS22O@>Ogz2qc(a2e^JOP+kD8&lA&Pi%u1CWFd;6yZid^FTN5&8bXW+qa|Wwlk{_QR9C1v2 zEDuIj?aq9$YXsI$U^GOkXW46_UsC>yWI+=kmfU$1;Aj*}g7Y4HxE9r^JVNb*l$ zSE9Six(r=-Fzn+9a{#1}PpfJD4!r=Qweq)3uX*}ddcbG>gVa`=#{eIuIP*_qezMUIh8&41F9r#IePI;$pvHqp@zT9xtsNx(~`+vC#5^Yy#pP5Sf z(Z<=SRfyjkvHQ`@4)FKn|0~ME|6dRPklYy3VDbL@`0V6T-{prVj*rI=jP#NB?ZW>L Dv1As4 From 174efab4e448baee64f7d6b73564ff50d76708c0 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:22:09 +0100 Subject: [PATCH 13/20] [docs] max bootloader size is 64kB --- docs/datasheet/soc_bootrom.adoc | 9 +++++---- 1 file changed, 5 insertions(+), 4 deletions(-) diff --git a/docs/datasheet/soc_bootrom.adoc b/docs/datasheet/soc_bootrom.adoc index 5a1f19a47..f6f93365c 100644 --- a/docs/datasheet/soc_bootrom.adoc +++ b/docs/datasheet/soc_bootrom.adoc @@ -19,10 +19,11 @@ The boot ROM contains the executable image of the default NEORV32 <<_bootloader>>. When the <<_boot_configuration>> is set to _bootloader_ mode (0) via the `BOOT_MODE_SELECT` generic, the -boot ROM is automatically enabled and the CPU boot address is automatically adjusted to the -base address of the boot ROM. +boot ROM is automatically enabled and the CPU boot address is adjusted to the base address of the boot ROM. .Bootloader Image [IMPORTANT] -The boot ROM is initialized during synthesis with the default bootloader image -(`rtl/core/neorv32_bootloader_image.vhd`). Note that the BOOTROM size is constrained to 4kB. +The bootloader ROM is initialized during synthesis with the default bootloader image +(`rtl/core/neorv32_bootloader_image.vhd`). The physical size of the ROM is automatically +adjusted to the next power of two of the image size. However, note that the BOOTROM is +constrained to a maximum size of 64kB. From d847ccc07d0bca1c05bae409865c07032f4322b1 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:22:35 +0100 Subject: [PATCH 14/20] [bootrom] use size constant from image --- rtl/core/neorv32_boot_rom.vhd | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/rtl/core/neorv32_boot_rom.vhd b/rtl/core/neorv32_boot_rom.vhd index 08e1d55cc..a44530d93 100644 --- a/rtl/core/neorv32_boot_rom.vhd +++ b/rtl/core/neorv32_boot_rom.vhd @@ -28,11 +28,11 @@ end neorv32_boot_rom; architecture neorv32_boot_rom_rtl of neorv32_boot_rom is -- determine physical ROM size in bytes (expand to next power of two) -- - constant boot_rom_size_index_c : natural := index_size_f((bootloader_init_image'length)); -- address with (32-bit entries) - constant boot_rom_size_c : natural range 0 to mem_boot_size_c := (2**boot_rom_size_index_c)*4; -- physical size in bytes + constant boot_rom_size_index_c : natural := index_size_f((bootloader_init_size_c)); -- address with (bytes) + constant boot_rom_size_c : natural range 0 to iodev_size_c := (2**boot_rom_size_index_c); -- physical size in bytes -- ROM initialized with executable code -- - constant mem_rom_c : mem32_t(0 to boot_rom_size_c/4-1) := mem32_init_f(bootloader_init_image, boot_rom_size_c/4); + constant mem_rom_c : mem32_t(0 to boot_rom_size_c/4-1) := mem32_init_f(bootloader_init_image_c, boot_rom_size_c/4); -- local signals -- signal rden : std_ulogic; From 93061d3b385551371f34682783c911446549902d Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:22:47 +0100 Subject: [PATCH 15/20] [imem] use size constant from image --- rtl/core/neorv32_imem.vhd | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/rtl/core/neorv32_imem.vhd b/rtl/core/neorv32_imem.vhd index a68c9b0d7..bdaaae97c 100644 --- a/rtl/core/neorv32_imem.vhd +++ b/rtl/core/neorv32_imem.vhd @@ -38,8 +38,7 @@ architecture neorv32_imem_rtl of neorv32_imem is constant alt_style_c : boolean := false; -- [TIP] enable this if synthesis fails to infer block RAM -- ROM - initialized with executable code -- - constant imem_app_size_c : natural := (application_init_image'length)*4; -- application (image) size in bytes - constant mem_rom_c : mem32_t(0 to IMEM_SIZE/4-1) := mem32_init_f(application_init_image, IMEM_SIZE/4); + constant mem_rom_c : mem32_t(0 to IMEM_SIZE/4-1) := mem32_init_f(application_init_image_c, IMEM_SIZE/4); -- local signals -- signal rdata : std_ulogic_vector(31 downto 0); @@ -60,8 +59,8 @@ begin "[NEORV32] Implementing processor-internal IMEM as " & cond_sel_string_f(IMEM_INIT, "pre-initialized ROM.", "blank RAM.") severity note; - assert not ((IMEM_INIT = true) and (imem_app_size_c > IMEM_SIZE)) report - "[NEORV32] Application image (" & natural'image(imem_app_size_c) & + assert not ((IMEM_INIT = true) and (application_init_size_c > IMEM_SIZE)) report + "[NEORV32] Application image (" & natural'image(application_init_size_c) & " bytes) does not fit into processor-internal IMEM (" & natural'image(IMEM_SIZE) & " bytes)!" severity error; From afb3123bf7b2b40297820a3bc7974893d8bddc98 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:26:40 +0100 Subject: [PATCH 16/20] [bootloader] update bootloader --- rtl/core/neorv32_bootloader_image.vhd | 633 +++++++++++++------------- sw/bootloader/bootloader.c | 2 +- sw/bootloader/makefile | 2 +- 3 files changed, 324 insertions(+), 313 deletions(-) diff --git a/rtl/core/neorv32_bootloader_image.vhd b/rtl/core/neorv32_bootloader_image.vhd index 3d6cdaf4a..e53757dfd 100644 --- a/rtl/core/neorv32_bootloader_image.vhd +++ b/rtl/core/neorv32_bootloader_image.vhd @@ -1,7 +1,7 @@ -- The NEORV32 RISC-V Processor - github.com/stnolting/neorv32 -- Auto-generated memory initialization image (for internal BOOTROM) -- Source: bootloader/build/main.bin --- Built: 22.12.2024 15:13:01 +-- Built: 23.12.2024 21:24:24 library ieee; use ieee.std_logic_1164.all; @@ -11,8 +11,8 @@ use neorv32.neorv32_package.all; package neorv32_bootloader_image is -constant bootloader_init_size : natural := 3916; -- bytes -constant bootloader_init_image : mem32_t := ( +constant bootloader_init_size_c : natural := 3960; -- bytes +constant bootloader_init_image_c : mem32_t := ( x"000020b7", x"80008093", x"30009073", @@ -20,10 +20,10 @@ x"00000097", x"0a408093", x"30509073", x"30401073", -x"80004217", +x"80200217", x"0e320213", x"ff027113", -x"80004197", +x"80200197", x"7d818193", x"00000293", x"00000313", @@ -31,10 +31,10 @@ x"00000393", x"00000413", x"00000493", x"00001597", -x"f0858593", -x"80004617", +x"f3458593", +x"80200617", x"fb460613", -x"80004697", +x"80200697", x"fac68693", x"00c58e63", x"00d65c63", @@ -43,7 +43,7 @@ x"00e62023", x"00458593", x"00460613", x"fedff06f", -x"80004717", +x"80200717", x"f8870713", x"80818793", x"00f75863", @@ -78,30 +78,32 @@ x"fec10113", x"0007a223", x"800007b7", x"0007a023", -x"ffffd7b7", +x"ffe017b7", x"00112823", x"00812623", x"00912423", -x"9bc78793", +x"9d878793", x"30579073", -x"e0802783", +x"fffe07b7", +x"0087a783", x"00d79713", -x"00075863", -x"80002023", -x"01100793", -x"80f02023", -x"e0802783", +x"00075a63", +x"fff807b7", +x"0007a023", +x"01100713", +x"00e7a023", +x"fffe07b7", +x"0087a783", x"2007f793", -x"06078463", -x"fffff7b7", -x"f007a023", -x"f007a423", +x"06078263", +x"ffef07b7", +x"0007a023", +x"0007a423", x"00006737", -x"f007a623", +x"0007a623", x"20570713", -x"f0e7a023", -x"f007a423", -x"f0078793", +x"00e7a023", +x"0007a423", x"0007a623", x"0007a703", x"00171693", @@ -118,23 +120,25 @@ x"000016b7", x"60068693", x"00d76733", x"00e7a023", -x"460000ef", -x"00050863", -x"00100793", -x"c0f02423", -x"c0002623", -x"fffff7b7", -x"5007a023", -x"e0002683", +x"46c000ef", +x"00050a63", +x"fffc07b7", +x"00100713", +x"00e7a423", +x"0007a623", +x"fff507b7", +x"0007a023", x"00009737", +x"fffe07b7", x"ffff7637", -x"00000793", +x"0007a683", x"5ff70713", +x"00000793", x"a0060613", -x"1ed76c63", +x"1ed76e63", x"00000713", x"3fe00613", -x"1ef66c63", +x"1ef66e63", x"fff78793", x"00679793", x"01079793", @@ -143,186 +147,186 @@ x"01877713", x"0107d793", x"00e7e7b3", x"0017e793", -x"fffff737", -x"50f72023", -x"e0802783", -x"00f79713", -x"02075863", -x"fffff7b7", -x"4007a023", -x"4007a223", -x"e0002703", +x"fff50737", +x"00f72023", +x"fffe0737", +x"00872783", +x"00f79693", +x"0206d863", +x"fff407b7", +x"0007a023", +x"0007a223", +x"00072703", x"00275713", -x"40e7a423", -x"4007a623", +x"00e7a423", +x"0007a623", x"08000793", x"30479073", x"00800793", x"3007a073", -x"ffffd537", -x"d1c50513", -x"6c4000ef", +x"ffe01537", +x"d4850513", +x"6c8000ef", x"f1302573", -x"658000ef", -x"ffffd537", -x"d5450513", -x"6b0000ef", -x"e0002503", +x"65c000ef", +x"ffe01537", +x"d8050513", +x"6b4000ef", +x"fffe0437", +x"00042503", x"644000ef", -x"ffffd537", -x"d5c50513", +x"ffe01537", +x"d8850513", x"69c000ef", x"30102573", x"630000ef", -x"ffffd537", -x"d6450513", +x"ffe01537", +x"d9050513", x"688000ef", x"fc002573", x"61c000ef", -x"ffffd537", -x"d6c50513", +x"ffe01537", +x"d9850513", x"674000ef", -x"e0802503", -x"00100413", -x"ffffd4b7", -x"600000ef", -x"ffffd537", -x"d7450513", -x"658000ef", -x"e0404503", -x"00a41533", +x"00842503", +x"00100493", +x"604000ef", +x"ffe01537", +x"da050513", +x"65c000ef", +x"00444503", +x"00a49533", x"ffc57513", -x"5e4000ef", -x"ffffd537", -x"d7c50513", -x"63c000ef", -x"e0504783", -x"00f41533", +x"5e8000ef", +x"ffe01537", +x"da850513", +x"640000ef", +x"00544783", +x"00f49533", x"ffc57513", -x"5c8000ef", -x"d1848513", +x"5cc000ef", +x"ffe014b7", +x"d4448513", x"624000ef", -x"e0802783", +x"00842783", x"00f79713", x"06075063", -x"ffffd537", -x"d8450513", +x"ffe01537", +x"db050513", x"60c000ef", x"308000ef", -x"e0002703", +x"00042703", x"00271413", x"00e40433", x"00141413", x"00a407b3", x"0087b433", x"00b40433", -x"e0802703", +x"fffe0737", +x"00872703", x"00e71693", -x"0c06d463", -x"fffff737", -x"50072683", -x"50070713", +x"0c06d063", +x"fff50737", +x"00072683", x"00f69613", -x"0a065a63", -x"ffffd537", +x"0a065863", +x"ffe01537", x"00472783", -x"db050513", +x"ddc50513", x"5bc000ef", -x"ffffd7b7", -x"dbc78513", +x"ffe017b7", +x"de878513", x"5b0000ef", -x"ffffd537", -x"e3c50513", +x"ffe01537", +x"e6850513", x"5a4000ef", -x"fffff7b7", -x"50078793", +x"fff507b7", x"0007a703", x"00f71693", x"fe06dce3", x"0047a403", x"0ff47413", x"00040513", -x"500000ef", -x"d1848513", -x"578000ef", +x"508000ef", +x"d4448513", +x"57c000ef", x"03f00793", x"24f40a63", x"f9b40413", x"0ff47413", x"01300793", x"2287e863", -x"ffffd7b7", -x"ed878793", +x"ffe017b7", +x"f0478793", x"00241413", x"00f40433", x"00042783", x"00078067", x"00c686b3", x"00178793", -x"e01ff06f", +x"dfdff06f", x"ffe70693", x"ffd6f693", x"00069863", x"0037d793", x"00170713", -x"df5ff06f", +x"df1ff06f", x"0017d793", x"ff5ff06f", x"00f12023", -x"214000ef", +x"218000ef", x"00012783", -x"f285e2e3", +x"f285e4e3", x"00b41463", -x"f0f56ee3", +x"f2f560e3", x"00100513", -x"6e4000ef", -x"d1848513", -x"4f4000ef", +x"6f8000ef", +x"d4448513", +x"4f8000ef", x"00000513", -x"019000ef", -x"ffffc2b7", +x"031000ef", +x"ffe002b7", x"00028067", x"00000513", -x"6c4000ef", -x"f2dff06f", +x"6d8000ef", +x"f31ff06f", x"800007b7", x"0047a403", x"00041863", -x"ffffd537", -x"e4450513", -x"f11ff06f", -x"ffffd537", -x"e6050513", -x"4b4000ef", +x"ffe01537", +x"e7050513", +x"f15ff06f", +x"ffe01537", +x"e8c50513", +x"4b8000ef", x"00040513", -x"448000ef", -x"ffffd537", -x"e6850513", -x"4a0000ef", +x"44c000ef", +x"ffe01537", +x"e9450513", +x"4a4000ef", x"00400537", -x"434000ef", -x"ffffd537", -x"e8050513", -x"48c000ef", -x"fffff7b7", -x"50078793", +x"438000ef", +x"ffe01537", +x"eac50513", +x"490000ef", +x"fff507b7", x"0007a703", x"00f71693", x"fe06dce3", x"0047a503", x"0ff57513", x"00a12023", -x"3e8000ef", +x"3f4000ef", x"00012503", x"07900793", -x"eaf518e3", -x"2f8000ef", +x"eaf51ce3", +x"304000ef", x"00050663", x"00300513", -x"49c000ef", -x"ffffd537", -x"e8c50513", -x"440000ef", +x"4a4000ef", +x"ffe01537", +x"eb850513", +x"448000ef", x"01045793", x"00178793", x"00400737", @@ -339,7 +343,7 @@ x"00b70733", x"00a78533", x"00e12223", x"00f12023", -x"2f0000ef", +x"2fc000ef", x"00012783", x"00412703", x"00478793", @@ -348,30 +352,30 @@ x"4788d5b7", x"afe58593", x"00400537", x"00e12023", -x"2cc000ef", +x"2d8000ef", x"00400537", x"00040593", x"00450513", -x"2bc000ef", +x"2c8000ef", x"00012703", x"00400537", x"00850513", x"40e005b3", -x"2a8000ef", -x"ffffd537", -x"d0050513", -x"df9ff06f", +x"2b4000ef", +x"ffe01537", +x"d2c50513", +x"e01ff06f", x"00f12223", -x"1ec000ef", -x"124000ef", +x"1f8000ef", +x"130000ef", x"0d800513", -x"0c8000ef", +x"0d0000ef", x"00012503", -x"0d8000ef", -x"0a4000ef", +x"0e4000ef", +x"0a8000ef", x"00412783", x"00f12223", -x"1e8000ef", +x"1f4000ef", x"00157513", x"00412783", x"fe0518e3", @@ -380,45 +384,48 @@ x"00010737", x"00e68733", x"f31ff06f", x"00100513", -x"e7dff06f", +x"e81ff06f", x"800007b7", x"0047a783", -x"e4079ee3", -x"ffffd537", -x"e9c50513", -x"d91ff06f", -x"e0802783", +x"e60790e3", +x"ffe01537", +x"ec850513", +x"d99ff06f", +x"fffe07b7", +x"0087a783", x"2007f793", x"00079863", -x"ffffd537", -x"eac50513", -x"d79ff06f", +x"ffe01537", +x"ed850513", +x"d7dff06f", x"00100513", x"e35ff06f", -x"ffffd537", -x"eb850513", -x"d65ff06f", -x"e0802503", +x"ffe01537", +x"ee450513", +x"d69ff06f", +x"fffe07b7", +x"0087a503", x"00f55513", x"00157513", x"00008067", -x"fffff7b7", -x"40078793", +x"fff407b7", x"0047a583", x"0007a503", x"0047a703", x"fee59ae3", x"00008067", -x"80002783", -x"00c79713", -x"fe074ce3", -x"800007b7", -x"80f02223", +x"fff807b7", +x"0007a703", +x"00c71693", +x"fe06cce3", +x"80000737", +x"00e7a223", x"00008067", -x"80a02223", -x"80002783", -x"fe07cee3", -x"80402503", +x"fff807b7", +x"00a7a223", +x"0007a703", +x"fe074ee3", +x"0047a503", x"0ff57513", x"00008067", x"ff810113", @@ -427,43 +434,43 @@ x"00050413", x"01055513", x"0ff57513", x"00112223", -x"fd1ff0ef", +x"fcdff0ef", x"00845513", x"0ff57513", -x"fc5ff0ef", +x"fc1ff0ef", x"0ff47513", x"00012403", x"00412083", x"00810113", -x"fb1ff06f", -x"80002783", -x"00c79713", -x"fe074ce3", +x"fadff06f", +x"fff80737", +x"00072783", +x"00c79693", +x"fe06cce3", x"800007b7", x"00878793", -x"80f02223", +x"00f72223", x"00008067", x"fe810113", x"00912623", x"00112a23", x"00812823", -x"00050713", +x"00050793", x"00000493", -x"04071a63", -x"fffff7b7", -x"50078793", -x"0007a683", +x"04079863", +x"fff50737", +x"00072683", x"00f69613", x"fe065ce3", -x"0047a403", +x"00472403", x"0ff47413", -x"00448793", +x"00448713", x"00810693", -x"00d787b3", -x"fe878e23", +x"00d70733", +x"fe870e23", x"00148493", -x"00400793", -x"fcf494e3", +x"00400713", +x"fce496e3", x"01412083", x"01012403", x"00812503", @@ -471,37 +478,37 @@ x"00c12483", x"01810113", x"00008067", x"00b48433", -x"00e12223", +x"00f12223", x"00b12023", x"f6dff0ef", x"00300513", -x"f11ff0ef", +x"f0dff0ef", x"00040513", x"f21ff0ef", x"00000513", -x"f01ff0ef", +x"efdff0ef", x"00050413", -x"ee1ff0ef", -x"00412703", +x"ed9ff0ef", +x"00412783", x"00012583", x"f95ff06f", x"ffc10113", x"00112023", x"f35ff0ef", x"00600513", -x"ed9ff0ef", +x"ed5ff0ef", x"00012083", x"00410113", -x"eb5ff06f", +x"eadff06f", x"ff810113", x"00112223", x"f15ff0ef", x"00500513", -x"eb9ff0ef", +x"eb5ff0ef", x"00000513", -x"eb1ff0ef", +x"eadff0ef", x"00a12023", -x"e91ff0ef", +x"e89ff0ef", x"00412083", x"00012503", x"00810113", @@ -510,8 +517,8 @@ x"ffc10113", x"00112023", x"ee1ff0ef", x"0ab00513", -x"e85ff0ef", -x"e69ff0ef", +x"e81ff0ef", +x"e61ff0ef", x"f95ff0ef", x"fb1ff0ef", x"00257793", @@ -519,8 +526,8 @@ x"fff00513", x"02078063", x"ebdff0ef", x"00400513", -x"e61ff0ef", -x"e45ff0ef", +x"e5dff0ef", +x"e3dff0ef", x"f91ff0ef", x"01e51513", x"41f55513", @@ -544,13 +551,13 @@ x"00f12023", x"f21ff0ef", x"e59ff0ef", x"00200513", -x"dfdff0ef", +x"df9ff0ef", x"00412703", x"00070513", x"e09ff0ef", x"00012503", -x"de9ff0ef", -x"dcdff0ef", +x"de5ff0ef", +x"dc5ff0ef", x"f19ff0ef", x"00157513", x"fe051ce3", @@ -562,8 +569,7 @@ x"01012403", x"00c12483", x"01810113", x"00008067", -x"fffff7b7", -x"50078793", +x"fff507b7", x"0007a703", x"00a71693", x"fe06cce3", @@ -575,18 +581,18 @@ x"00050413", x"03000513", x"00112423", x"00912023", -x"fcdff0ef", +x"fd1ff0ef", x"07800513", -x"fc5ff0ef", +x"fc9ff0ef", x"01c00493", x"00945733", -x"ffffd7b7", +x"ffe017b7", x"00f77713", -x"f2878793", +x"f5478793", x"00e787b3", x"0007c503", x"ffc48493", -x"fa1ff0ef", +x"fa5ff0ef", x"ffc00793", x"fcf49ee3", x"00812083", @@ -610,30 +616,31 @@ x"00008067", x"00a00793", x"00f49663", x"00d00513", -x"f41ff0ef", +x"f45ff0ef", x"00048513", -x"f39ff0ef", +x"f3dff0ef", x"fc9ff06f", x"ff810113", x"00812023", x"00050413", -x"ffffd537", -x"ca850513", +x"ffe01537", +x"cd450513", x"00112223", x"f99ff0ef", x"00241793", -x"ffffd537", +x"ffe01537", x"008787b3", -x"f3850513", +x"f6450513", x"00f50533", x"f81ff0ef", x"00800793", x"3007b073", -x"c65ff0ef", -x"00050863", -x"00100793", -x"c0f02423", -x"c0002623", +x"c61ff0ef", +x"00050a63", +x"fffc07b7", +x"00100713", +x"00e7a423", +x"0007a623", x"10500073", x"ffdff06f", x"fd010113", @@ -652,26 +659,28 @@ x"00f12023", x"342024f3", x"800007b7", x"00778793", -x"08f49463", -x"c05ff0ef", -x"00050863", -x"c0802783", +x"08f49863", +x"bfdff0ef", +x"00050a63", +x"fffc0737", +x"00872783", x"0017c793", -x"c0f02423", -x"e0802783", +x"00f72423", +x"fffe0437", +x"00842783", x"00f79713", x"02075863", -x"bf5ff0ef", -x"e0002783", -x"fffff737", +x"be9ff0ef", +x"00042783", +x"fff40737", x"fff00693", x"0027d793", x"00a78533", x"00f537b3", -x"40d72423", +x"00d72423", x"00b787b3", -x"40f72623", -x"40a72423", +x"00f72623", +x"00a72423", x"01c12403", x"02c12083", x"02812283", @@ -692,30 +701,31 @@ x"800007b7", x"0007a783", x"00078663", x"00100513", -x"ec9ff0ef", +x"ebdff0ef", x"34102473", -x"e0802783", +x"fffe07b7", +x"0087a783", x"00e79713", x"04075263", -x"ffffd537", -x"cb050513", -x"e5dff0ef", +x"ffe01537", +x"cdc50513", +x"e4dff0ef", x"00048513", -x"df1ff0ef", +x"de1ff0ef", x"02000513", -x"dcdff0ef", +x"dc1ff0ef", x"00040513", -x"de1ff0ef", +x"dd1ff0ef", x"02000513", -x"dbdff0ef", +x"db1ff0ef", x"34302573", -x"dd1ff0ef", -x"ffffd537", -x"d1850513", -x"e29ff0ef", +x"dc1ff0ef", +x"ffe01537", +x"d4450513", +x"e19ff0ef", x"00440413", x"34141073", -x"f55ff06f", +x"f51ff06f", x"fe010113", x"00912a23", x"00100793", @@ -725,42 +735,43 @@ x"00812c23", x"00a12023", x"00f4a023", x"02051863", -x"ffffd537", -x"cbc50513", -x"dedff0ef", +x"ffe01537", +x"ce850513", +x"dddff0ef", x"00012503", x"004005b7", -x"b85ff0ef", +x"b7dff0ef", x"4788d7b7", x"afe78793", -x"04f50663", +x"04f50863", x"00000513", -x"0340006f", -x"ffffd537", -x"cdc50513", -x"dc1ff0ef", +x"0380006f", +x"ffe01537", +x"d0850513", +x"db1ff0ef", x"00400537", -x"d55ff0ef", -x"ffffd537", -x"cf850513", -x"dadff0ef", -x"e0802783", +x"d45ff0ef", +x"ffe01537", +x"d2450513", +x"d9dff0ef", +x"fffe07b7", +x"0087a783", x"00d79713", x"00074663", x"00300513", -x"de9ff0ef", -x"c35ff0ef", -x"fa0504e3", +x"dd5ff0ef", +x"c25ff0ef", +x"fa0502e3", x"ff1ff06f", x"00012503", x"004005b7", x"00458593", -x"b21ff0ef", +x"b15ff0ef", x"00050413", x"00012503", x"004005b7", x"00858593", -x"b0dff0ef", +x"b01ff0ef", x"00050693", x"ffc47613", x"00000713", @@ -772,9 +783,9 @@ x"02c71e63", x"00d787b3", x"00200513", x"fa0792e3", -x"ffffd537", -x"d0050513", -x"d31ff0ef", +x"ffe01537", +x"d2c50513", +x"d1dff0ef", x"800007b7", x"0087a223", x"01c12083", @@ -788,7 +799,7 @@ x"00c12823", x"00e12623", x"00d12423", x"00f12223", -x"a9dff0ef", +x"a91ff0ef", x"00c12703", x"00412783", x"01012603", @@ -806,22 +817,22 @@ x"00a03533", x"40a00533", x"e0400437", x"00a47433", -x"ffffd537", -x"d0450513", -x"ca9ff0ef", -x"00040513", -x"c3dff0ef", -x"ffffd537", -x"d1450513", +x"ffe01537", +x"d3050513", x"c95ff0ef", -x"981ff0ef", -x"00050663", -x"c0002423", -x"c0002623", -x"fffff7b7", -x"50078793", -x"0007a703", -x"fe074ee3", +x"00040513", +x"c29ff0ef", +x"ffe01537", +x"d4050513", +x"c81ff0ef", +x"969ff0ef", +x"00050863", +x"fffc07b7", +x"0007a423", +x"0007a623", +x"fff50737", +x"00072783", +x"fe07cee3", x"000400e7", x"52450a07", x"00005f52", @@ -862,7 +873,7 @@ x"0a3e3e20", x"444c420a", x"44203a56", x"32206365", -x"30322032", +x"30322033", x"480a3432", x"203a5657", x"00000020", @@ -963,26 +974,26 @@ x"676e6974", x"6f656e2f", x"32337672", x"00000000", -x"ffffc5c0", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc35c", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc5b8", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc42c", -x"ffffc440", -x"ffffc5e4", -x"ffffc434", -x"ffffc5e4", -x"ffffc5e4", -x"ffffc5d8", +x"ffe005d0", +x"ffe005f8", +x"ffe005f8", +x"ffe00374", +x"ffe005f8", +x"ffe005f8", +x"ffe005f8", +x"ffe005c8", +x"ffe005f8", +x"ffe005f8", +x"ffe005f8", +x"ffe005f8", +x"ffe005f8", +x"ffe00440", +x"ffe00454", +x"ffe005f8", +x"ffe00448", +x"ffe005f8", +x"ffe005f8", +x"ffe005e8", x"33323130", x"37363534", x"62613938", diff --git a/sw/bootloader/bootloader.c b/sw/bootloader/bootloader.c index 7dcde75eb..c06ecd5c0 100644 --- a/sw/bootloader/bootloader.c +++ b/sw/bootloader/bootloader.c @@ -361,7 +361,7 @@ int main(void) { PRINT_TEXT("\n"); if (c == 'r') { // restart bootloader - asm volatile ("li t0, %[input_i]; jr t0" : : [input_i] "i" (BOOTLOADER_BASE_ADDRESS)); // jump to beginning of boot ROM + asm volatile ("li t0, %[input_i]; jr t0" : : [input_i] "i" (NEORV32_BOOTROM_BASE)); // jump to beginning of boot ROM __builtin_unreachable(); } else if (c == 'h') { // help menu diff --git a/sw/bootloader/makefile b/sw/bootloader/makefile index 1470ebbea..17f656968 100644 --- a/sw/bootloader/makefile +++ b/sw/bootloader/makefile @@ -13,7 +13,7 @@ EFFORT = -Os # Enable link-time-optimization USER_FLAGS += \ -Wl,--defsym,__neorv32_rom_size=4k \ --Wl,--defsym,__neorv32_rom_base=0xFFFFC000 \ +-Wl,--defsym,__neorv32_rom_base=0xFFE00000 \ -Wl,--defsym,__neorv32_ram_size=256 \ -DMAKE_BOOTLOADER \ -flto From 4bfca9d9de5f35218dc06fde489b32494c361d03 Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:26:58 +0100 Subject: [PATCH 17/20] [rtl] update default application image --- rtl/core/neorv32_application_image.vhd | 41 +++++++++++++------------- 1 file changed, 21 insertions(+), 20 deletions(-) diff --git a/rtl/core/neorv32_application_image.vhd b/rtl/core/neorv32_application_image.vhd index f92fd0ed9..a6b66a3f1 100644 --- a/rtl/core/neorv32_application_image.vhd +++ b/rtl/core/neorv32_application_image.vhd @@ -1,7 +1,7 @@ -- The NEORV32 RISC-V Processor - github.com/stnolting/neorv32 -- Auto-generated memory initialization image (for internal IMEM) -- Source: demo_blink_led/build/main.bin --- Built: 22.12.2024 15:13:19 +-- Built: 23.12.2024 21:23:52 library ieee; use ieee.std_logic_1164.all; @@ -11,8 +11,8 @@ use neorv32.neorv32_package.all; package neorv32_application_image is -constant application_init_size : natural := 1112; -- bytes -constant application_init_image : mem32_t := ( +constant application_init_size_c : natural := 1116; -- bytes +constant application_init_image_c : mem32_t := ( x"000020b7", x"80008093", x"30009073", @@ -46,7 +46,7 @@ x"00000e13", x"00000e93", x"00000f13", x"00000f93", -x"45800593", +x"45c00593", x"80000617", x"f7860613", x"80000697", @@ -67,9 +67,9 @@ x"00072023", x"00470713", x"ff5ff06f", x"00000417", -x"38440413", +x"38840413", x"00000497", -x"37c48493", +x"38048493", x"00945a63", x"00042083", x"000080e7", @@ -84,9 +84,9 @@ x"00000417", x"03840413", x"30541073", x"00000417", -x"34040413", +x"34440413", x"00000497", -x"33848493", +x"33c48493", x"00945a63", x"00042083", x"000080e7", @@ -115,12 +115,12 @@ x"00000513", x"00000593", x"00112623", x"00812423", -x"11c000ef", +x"120000ef", x"00000513", x"00150413", x"00000593", x"0ff57513", -x"108000ef", +x"10c000ef", x"0fa00513", x"020000ef", x"00040513", @@ -137,11 +137,11 @@ x"00912a23", x"00a12623", x"0f8000ef", x"3e800593", -x"1bc000ef", +x"1c0000ef", x"00c12603", x"00000693", x"00000593", -x"10c000ef", +x"110000ef", x"00050413", x"00058493", x"fc0027f3", @@ -164,7 +164,8 @@ x"01812403", x"01412483", x"02010113", x"00008067", -x"e0802783", +x"fffe07b7", +x"0087a783", x"00f79713", x"02075663", x"05c000ef", @@ -174,9 +175,9 @@ x"009585b3", x"00b504b3", x"048000ef", x"fe95eee3", -x"fcb492e3", +x"fcb490e3", x"fe856ae3", -x"fbdff06f", +x"fb9ff06f", x"01c49493", x"00445413", x"0084e433", @@ -185,19 +186,19 @@ x"00040863", x"fff40413", x"00000013", x"ff1ff06f", -x"f99ff06f", -x"c0000793", +x"f95ff06f", +x"fffc07b7", x"00a7a423", x"00b7a623", x"00008067", -x"fffff7b7", -x"40078793", +x"fff407b7", x"0047a583", x"0007a503", x"0047a703", x"fee59ae3", x"00008067", -x"e0002503", +x"fffe07b7", +x"0007a503", x"00008067", x"00050613", x"00000513", From 31db3300387acd69be42d726d20b6427be0fe70b Mon Sep 17 00:00:00 2001 From: stnolting Date: Mon, 23 Dec 2024 21:27:31 +0100 Subject: [PATCH 18/20] [rtl] update OCD's DM addresses --- rtl/core/neorv32_debug_dm.vhd | 11 +++++------ 1 file changed, 5 insertions(+), 6 deletions(-) diff --git a/rtl/core/neorv32_debug_dm.vhd b/rtl/core/neorv32_debug_dm.vhd index 90bb2d6d9..12278292c 100644 --- a/rtl/core/neorv32_debug_dm.vhd +++ b/rtl/core/neorv32_debug_dm.vhd @@ -19,7 +19,6 @@ use neorv32.neorv32_package.all; entity neorv32_debug_dm is generic ( - CPU_BASE_ADDR : std_ulogic_vector(31 downto 0); -- base address for the memory-mapped CPU interface registers AUTHENTICATOR : boolean -- implement authentication module when true ); port ( @@ -41,11 +40,11 @@ end neorv32_debug_dm; architecture neorv32_debug_dm_rtl of neorv32_debug_dm is - -- memory map -- - constant dm_code_base_c : std_ulogic_vector(31 downto 0) := std_ulogic_vector(unsigned(CPU_BASE_ADDR) + x"00"); -- code ROM (park loop) - constant dm_pbuf_base_c : std_ulogic_vector(31 downto 0) := std_ulogic_vector(unsigned(CPU_BASE_ADDR) + x"40"); -- program buffer (PBUF) - constant dm_data_base_c : std_ulogic_vector(31 downto 0) := std_ulogic_vector(unsigned(CPU_BASE_ADDR) + x"80"); -- abstract data buffer (DATA) - constant dm_sreg_base_c : std_ulogic_vector(31 downto 0) := std_ulogic_vector(unsigned(CPU_BASE_ADDR) + x"C0"); -- status register (SREG) + -- memory map; replicated throughout the entire device address space -- + constant dm_code_base_c : std_ulogic_vector(31 downto 0) := x"ffffff00"; -- code ROM (park loop) + constant dm_pbuf_base_c : std_ulogic_vector(31 downto 0) := x"ffffff40"; -- program buffer (PBUF) + constant dm_data_base_c : std_ulogic_vector(31 downto 0) := x"ffffff80"; -- abstract data buffer (DATA) + constant dm_sreg_base_c : std_ulogic_vector(31 downto 0) := x"ffffffC0"; -- status register (SREG) -- rv32i instruction prototypes -- constant instr_nop_c : std_ulogic_vector(31 downto 0) := x"00000013"; -- nop From 4978096c7823ee58cc51829c3cc5579d70ae4ac7 Mon Sep 17 00:00:00 2001 From: stnolting Date: Tue, 24 Dec 2024 00:28:32 +0100 Subject: [PATCH 19/20] [rtl] cleanups --- rtl/core/neorv32_bus.vhd | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/rtl/core/neorv32_bus.vhd b/rtl/core/neorv32_bus.vhd index d1e2cbea5..7c681cd68 100644 --- a/rtl/core/neorv32_bus.vhd +++ b/rtl/core/neorv32_bus.vhd @@ -302,9 +302,9 @@ architecture neorv32_bus_gateway_rtl of neorv32_bus_gateway is -- port select -- signal port_sel : std_ulogic_vector(4 downto 0); - -- port enable and privileged access lists -- + -- port enable list -- type port_bool_list_t is array (0 to 4) of boolean; - constant port_en_list_c : port_bool_list_t := (A_ENABLE, B_ENABLE, C_ENABLE, D_ENABLE, X_ENABLE); + constant port_en_list_c : port_bool_list_t := (A_ENABLE, B_ENABLE, C_ENABLE, D_ENABLE, X_ENABLE); -- port timeout enable list -- constant tmo_en_list_c : std_ulogic_vector(4 downto 0) := ( @@ -521,18 +521,18 @@ architecture neorv32_bus_io_switch_rtl of neorv32_bus_io_switch is -- bus register -- component neorv32_bus_reg generic ( - REQ_REG_EN : boolean := false; - RSP_REG_EN : boolean := false + REQ_REG_EN : boolean := false; + RSP_REG_EN : boolean := false ); port ( -- global control -- - clk_i : in std_ulogic; - rstn_i : in std_ulogic; + clk_i : in std_ulogic; + rstn_i : in std_ulogic; -- bus ports -- host_req_i : in bus_req_t; host_rsp_o : out bus_rsp_t; device_req_o : out bus_req_t; - device_rsp_i : in bus_rsp_t + device_rsp_i : in bus_rsp_t ); end component; From 0eefce4fb05fba795757f48ded203d3b97b77e43 Mon Sep 17 00:00:00 2001 From: stnolting Date: Tue, 24 Dec 2024 00:28:45 +0100 Subject: [PATCH 20/20] [docs] cleanups --- docs/datasheet/soc.adoc | 39 +++++++++++++++++---------------------- 1 file changed, 17 insertions(+), 22 deletions(-) diff --git a/docs/datasheet/soc.adoc b/docs/datasheet/soc.adoc index 26097a17a..1cdb73ee0 100644 --- a/docs/datasheet/soc.adoc +++ b/docs/datasheet/soc.adoc @@ -650,12 +650,6 @@ constant base_io_slink_c : std_ulogic_vector(31 downto 0) := x"ffffec00"; constant base_io_dma_c : std_ulogic_vector(31 downto 0) := x"ffffed00"; ---- -.IO Access Latency -[IMPORTANT] -In order to shorten the critical path of the IO system, the IO switch contain a partial register stage that -buffers the address bus. Hence, accesses to the processor-internal IO region requires an additional clock cycle -to complete. - <<< // #################################################################################################################### @@ -725,30 +719,31 @@ need for an explicit initialization / executable upload. :sectnums: === Processor-Internal Modules -.Privileged IO Access Only +.Full-Word Write Accesses Only [IMPORTANT] -Only privileged accesses (M-mode) to the IO/peripheral modules are allowed. If an unprivileged application -tries to access this address space a bus access error exception is raised. +All peripheral/IO devices should only be accessed in full-word mode (i.e. 32-bit). +Byte or half-word (8/16-bit) write accesses might cause undefined behavior. -.Full-Word Write Accesses Only -[NOTE] -All peripheral/IO devices should only be written in full-word mode (i.e. 32-bit). Byte or half-word (8/16-bit) write accesses -might cause undefined behavior. +.IO Module Address Space +[IMPORTAN] +Each peripheral/IO module occupies an address space of 64kB bytes. Most devices do not fully utilize this +address space and will _mirror_ the available memory-mapped registers across the entire 64kB address space. +However, accessing memory-mapped registers other than the specified ones should be avoided. -.Writing to Read-Only Registers +.Unimplemented Modules / Address Holes [NOTE] -Unless otherwise specified, writing to registers that are listed as read-only does not trigger an exception. -The write access is simply ignored by the corresponding hardware module. +When accessing an IO device that hast not been implemented (disabled via the according generic) +or when accessing an address that is actually unused, a load/store access fault exception is raised. -.IO Module's Address Space +.Writing to Read-Only Registers [NOTE] -Each peripheral/IO module occupies an address space of 256 bytes (64 words). Most devices do not fully utilize this address -space and will simply _mirror_ the available interface registers across the entire 256 bytes of address space. +Unless otherwise specified, writing to registers that are listed as read-only does not trigger an exception +as the write access is simply ignored by the corresponding hardware module. -.Unimplemented Modules / Address Holes +.IO Access Latency [NOTE] -When accessing an IO device that hast not been implemented (disabled via the according generic) -or when accessing an address that is actually unused, a load/store access fault exception is raised. +In order to shorten the critical path of the IO system, the IO switch provides register stages for the request and +response buses.Hence, accesses to the processor-internal IO region require two additional clock cycles to complete. .Module Interrupts [NOTE]