Skip to content

Commit 980207f

Browse files
committed
[rtl] remove spaces
1 parent 42932fd commit 980207f

File tree

1 file changed

+1
-2
lines changed

1 file changed

+1
-2
lines changed

rtl/core/neorv32_cache.vhd

+1-2
Original file line numberDiff line numberDiff line change
@@ -381,7 +381,7 @@ end neorv32_cache_rtl;
381381
-- -------------------------------------------------------------------------------- --
382382
-- Handle host accesses to the cache (check for hit/miss) or bypass cache if --
383383
-- direct/uncached access. If a cache miss occurs or a fence request is received an --
384-
-- according command is sent to the bus interface unit. --
384+
-- according command is sent to the bus interface unit. --
385385
-- -------------------------------------------------------------------------------- --
386386
-- The NEORV32 RISC-V Processor - https://github.com/stnolting/neorv32 --
387387
-- Copyright (c) NEORV32 contributors. --
@@ -390,7 +390,6 @@ end neorv32_cache_rtl;
390390
-- SPDX-License-Identifier: BSD-3-Clause --
391391
-- ================================================================================ --
392392

393-
394393
library ieee;
395394
use ieee.std_logic_1164.all;
396395
use ieee.numeric_std.all;

0 commit comments

Comments
 (0)