From f30e84ba00b1c5e630dea0ba6fbf117ec69ebcc8 Mon Sep 17 00:00:00 2001 From: Tom Roberts Date: Thu, 27 Aug 2020 10:35:43 +0100 Subject: [PATCH] [rtl] Remove outdated assertion - The core does not rely on instr_err_i being always valid, and most bus protocols don't support that Signed-off-by: Tom Roberts --- rtl/ibex_if_stage.sv | 3 --- 1 file changed, 3 deletions(-) diff --git a/rtl/ibex_if_stage.sv b/rtl/ibex_if_stage.sv index 39798fb21a..3e58a20a0c 100644 --- a/rtl/ibex_if_stage.sv +++ b/rtl/ibex_if_stage.sv @@ -595,9 +595,6 @@ module ibex_if_stage #( // Boot address must be aligned to 256 bytes. `ASSERT(IbexBootAddrUnaligned, boot_addr_i[7:0] == 8'h00) - // Errors must only be sent together with rvalid. - `ASSERT(IbexInstrErrWithoutRvalid, instr_err_i |-> instr_rvalid_i) - // Address must not contain X when request is sent. `ASSERT(IbexInstrAddrUnknown, instr_req_o |-> !$isunknown(instr_addr_o))