-
Notifications
You must be signed in to change notification settings - Fork 0
/
Test_mux32b.vhd
65 lines (47 loc) · 1.18 KB
/
Test_mux32b.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY Test_mux32b IS
END Test_mux32b;
ARCHITECTURE behavior OF Test_mux32b IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT mux32b
PORT(
A : IN std_logic_vector(31 downto 0);
B : IN std_logic_vector(31 downto 0);
Sel : IN std_logic;
O : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(31 downto 0) := (others => '0');
signal B : std_logic_vector(31 downto 0) := (others => '0');
signal Sel : std_logic := '0';
--Outputs
signal O : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: mux32b PORT MAP (
A => A,
B => B,
Sel => Sel,
O => O
);
-- Clock process definitions
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
A <= x"FFFFFFFF";
B <= x"00000000";
wait for 100 ns;
Sel <= '1';
wait for 100 ns;
Sel <= '0';
wait for 100 ns;
Sel <= '1';
wait for 100 ns;
Sel <= '0';
-- insert stimulus here
wait;
end process;
END;