Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Ignore VUnit Defines #8

Open
AlexLao512 opened this issue Sep 12, 2019 · 1 comment
Open

Ignore VUnit Defines #8

AlexLao512 opened this issue Sep 12, 2019 · 1 comment

Comments

@AlexLao512
Copy link

Hi is it possible to add a feature to this tool so it will ignore defines in VUnit testbenches?

See Example - https://vunit.github.io/user_guide.html#systemverilog-test-benches

It would also be helpful if it did a find and replace of "TEST_SUITE begin" "TEST_SUITE_SETUP begin" with appropriate reasonable SystemVerilog syntax so syntax checking works within those blocks.

@AlexLao512
Copy link
Author

Nevermind adding include directories to the argument list for the vlog command using "+incdir+" works.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant