We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
NO_TRANSLATION_FOR
The following topEntity
topEntity :: Unsigned 8 -> Unsigned 8 topEntity = fromInteger . toInteger . g . fromInteger . toInteger where g :: Natural -> Natural g x = x + 1
produces
** GENERATED BY CLASH 1.9.0. DO NOT MODIFY. */ `default_nettype none `timescale 100fs/100fs module topEntity ( // Inputs input wire [7:0] x // Outputs , output wire [7:0] result ); wire signed [63:0] c$app_arg; wire signed [63:0] c$app_arg_0; assign c$app_arg = NO_TRANSLATION_FOR:GHC.Num.Integer.integerFromNatural; assign c$app_arg_0 = $unsigned({{(64-8) {1'b0}},x}); assign result = $unsigned(c$app_arg[0+:8]); endmodule
and a similar NO_TRANSLATION_FOR, if targeting VHDL.
I would expect a dubious primitive warning here, as for Integer, or an error, but not Clash silently producing invalid HDL.
Integer
The text was updated successfully, but these errors were encountered:
NO_TRANSLATION_FOR:GHC.Num.Integer.integerFromNatural
Ah, this is an unfortunate interaction between
I guess we should add a BlackBox that produces error for a negative integer, and bitcoerces otherwise.
Sorry, something went wrong.
No branches or pull requests
The following topEntity
produces
and a similar
NO_TRANSLATION_FOR
, if targeting VHDL.I would expect a dubious primitive warning here, as for
Integer
, or an error, but not Clash silently producing invalid HDL.The text was updated successfully, but these errors were encountered: