diff --git a/README.md b/README.md index ab77c7d..9f95d3e 100644 --- a/README.md +++ b/README.md @@ -17,6 +17,7 @@ The FPGA architecture runs at 100 MHz and embeds many peripherals: - 2 x UART, - I2C (master), - ISO7816 (master), +- ISO14443-A (NFC daughterboard) - SPI (master), - Power supply controllers for each evaluation socket, - 4 x Delay and pulse generators with 10 ns resolution diff --git a/api/scaffold/__init__.py b/api/scaffold/__init__.py index 1272427..2aa00b5 100644 --- a/api/scaffold/__init__.py +++ b/api/scaffold/__init__.py @@ -1010,7 +1010,7 @@ def trigger_long(self): @trigger_long.setter def trigger_long(self, value): - # We want until transmission is ready to avoid triggering on a pending + # We wait until transmission is ready to avoid triggering on a pending # one. self.reg_config.set_bit( self.__REG_CONFIG_TRIGGER_LONG, @@ -1605,6 +1605,244 @@ def glitch_count(self, value): self.reg_count.set(value) +class ISO14443Trigger(int, Enum): + """Triggering modes for ISO-14443 peripheral.""" + NONE = 0, + START = 1, + END = 2, + RX = 4, + START_LONG = 9 + END_LONG = 10 + + +class ISO14443(Module): + """ + ISO 14443-A module of Scaffold. + """ + + __REG_STATUS_CONTROL_BIT_BUSY = 0 + __REG_STATUS_CONTROL_BIT_START = 0 + __REG_STATUS_CONTROL_BIT_POWER_ON = 1 + __REG_STATUS_CONTROL_BIT_POWER_OFF = 2 + __REG_CONFIG_BIT_USE_SYNC = 6 + __REG_CONFIG_BIT_POLARITY = 7 + __REG_CONFIG_BIT_TRIGGER_TX_START_EN = 0 + __REG_CONFIG_BIT_TRIGGER_TX_END_EN = 1 + __REG_CONFIG_BIT_TRIGGER_RX_START_EN = 2 + __REG_CONFIG_BIT_TRIGGER_LONG_EN = 3 + + def __init__(self, parent): + super().__init__(parent, "/iso14443") + # Declare the signals + self.add_signals("tx", "trigger", "rx", "clock_13_56", "tearing") + # Declare the registers + self.__addr_base = base = 0x0b00 + self.add_register("status_control", "rwv", base) + self.add_register("config", "w", base + 1, reset=0) + self.add_register("data", "rwv", base + 2) + self.add_register("timeout", "w", base + 3, wideness=3, reset=0x2faf08) + self.add_register("demod_delay", "w", base + 4, wideness=4, reset=0) + + def reset(self): + self.reg_config.set( + (1 << self.__REG_CONFIG_BIT_POLARITY) + | (1 << self.__REG_CONFIG_BIT_USE_SYNC)) + + def power_on(self): + self.reg_status_control.write(1 << self.__REG_STATUS_CONTROL_BIT_POWER_ON) + + def power_off(self): + self.reg_status_control.write(1 << self.__REG_STATUS_CONTROL_BIT_POWER_OFF) + + def start(self): + self.reg_status_control.write(1 << self.__REG_STATUS_CONTROL_BIT_START) + + def transmit_bits(self, bits: bytes): + """ + Transmits a frame. + + :param bits: Bits to be transmitted. Does not include start and stop bits. Must + includes parity bits. Each element of this bytes object must be 0 or 1. + """ + patterns = bytearray() + # Symbols "X", "Y" and "Z" for type A according to ISO 14443-2 + seq_x = 0b10 + seq_y = 0b11 + seq_z = 0b01 + # Append start bit + patterns.append(seq_z) + previous_bit = 0 + # Append symbols for all bits following the miller encoding. + for bit in bits: + assert bit in (0, 1) + if bit == 1: + seq = seq_x + elif previous_bit == 0: + seq = seq_z + else: + seq = seq_y + patterns.append(seq) + previous_bit = bit + # Append end of communication + if previous_bit == 0: + seq = seq_z + else: + seq = seq_y + patterns.append(seq) + patterns.append(seq_y) + # Send patterns to Scaffold board and trigger transmission. + # Flush RX fifo as well. + self.reg_data.write(patterns) + self.start() + + def receive_bits(self, bit_size_hint: Optional[int] = None): + """ + Reads all bits received in the reception FIFO. + + :param bit_size_hint: If the number of expected bits in the response is known, + it can be indicated as a hint to improve response readout performance. This + number includes start and parity bits. If response has more bits than + expected, it will still be read completely. + """ + # We don't know yet how many bits are available in the FIFO, and we + # want to read them all as fast as possible. Reading the data register + # returns one received bit and other informations that will help us to + # have low latency: + # - bit 1 is 1 if the FIFO is empty, + # - bits 7 to 2 hints how many bytes are still in the FIFO (value gives + # a range in multiple of 64). + # Therefore a good strategy is: + # - Read a first chunk and estimate how many bytes are still in the + # FIFO. + # - If there are other bits in the FIFO, read all the rest with a + # single read command. + # - Discard all bits that are invalid using the fifo emptyness flag. + + # Read 255 bits from the FIFO. + # We wait for the reception hardware to say it has finished receiving. + if bit_size_hint is None: + # 255 is the max possible with a single Scaffold bus request. + size = 255 + else: + size = bit_size_hint + 1 + data = self.reg_data.read( + size, + self.reg_status_control.poll( + mask=(1 << self.__REG_STATUS_CONTROL_BIT_BUSY), value=0 + ), + ) + # Look at last received byte to know if there are more, and how many + # about. + if (data[-1] & 2) == 0: + count = (data[-1] >> 2) * 64 + 63 + # Fetch the remaining + data += self.reg_data.read(count) + + # Strip invalid bits + count = 0 + end = False + for (i, b) in enumerate(data): + if not end: + if b & 2 == 2: + end = True + else: + count = i + 1 + else: + assert b & 2 == 2 + data = data[:count] + bits = bytes(b & 1 for b in data) + return bits + + def receive(self, bit_size_hint: Optional[int] = None) -> bytes: + """ + Reads all bytes received in the reception FIFO. + + :param bit_size_hint: If the number of expected bits in the response is known, + it can be indicated as a hint to improve response readout performance. This + number includes start and parity bits. If response has more bits than + expected, it will still be read completely. + """ + # 1 start bit, 9 bits per byte with parity + bits = self.receive_bits(bit_size_hint = bit_size_hint) + if len(bits) == 0: + # No response + return b'' + # Response always starts with a 1. The Scaffold hardware peripheral is + # not able to receive something else anyways, so if we have a 0 here we + # have a bug. + assert bits[0] == 1 + bits = bits[1:] + result = bytearray() + while len(bits) > 0: + b = 0 + p = 1 + for i in range(8): + b += bits[i] << i + p ^= bits[i] + assert p == bits[8] # Check parity bit + bits = bits[9:] + result.append(b) + return bytes(result) + + def transmit(self, data: bytes): + """ + Transmits a frame. + """ + bits = bytearray() + for byte in data: + parity_bit = 1 + for i in range(8): + bit = (byte >> i & 1) + parity_bit ^= bit + bits.append(bit) + bits.append(parity_bit) + self.transmit_bits(bits) + + def transmit_short(self, byte: int): + """Transmits a short frame (7-bits).""" + assert byte < 128 + bits = bytes((byte >> i) & 1 for i in range(7)) + self.transmit_bits(bits) + + @property + def trigger_mode(self) -> ISO14443Trigger: + return ISO14443Trigger(self.reg_config.get() & 0xf) + + @trigger_mode.setter + def trigger_mode(self, value: ISO14443Trigger): + self.reg_config.set_mask(value, 0xf) + + @property + def timeout(self) -> float: + ticks = self.reg_timeout.get() + return ticks * (2**6) / self.parent.sys_freq + + @timeout.setter + def timeout(self, t: float): + if t < 0: + raise ValueError("Timeout cannot be negative") + ticks = round((t * self.parent.sys_freq) / 2**6) + if ticks > 0xffffff: + raise ValueError("Timeout is too long") + if ticks < 1: + raise ValueError("Timeout is too short") + self.reg_timeout.set(ticks) + + @property + def demod_delay(self) -> float: + ticks = self.reg_demod_delay.get() + return ticks / self.parent.sys_freq + + @demod_delay.setter + def demod_delay(self, t: float): + if t < 0: + raise ValueError("Demodulation delay cannot be negative") + ticks = round(t * self.parent.sys_freq) + if ticks >= 2**28: + raise ValueError("Demodulation delay is too long") + self.reg_demod_delay.set(ticks) + + class IOMode(Enum): AUTO = 0 OPEN_DRAIN = 1 @@ -2098,6 +2336,7 @@ def __init__( "0.7.2", "0.8", "0.9", + "0.10" ) ], ) @@ -2205,6 +2444,12 @@ def connect( # Create the ISO7816 module self.iso7816 = ISO7816(self) + # Create the ISO 14443-A module + if self.version >= parse_version("0.10"): + self.iso14443 = ISO14443(self) + else: + self.iso14443 = None + # FPGA left matrix input signals self.add_mtxl_in("0") self.add_mtxl_in("1") @@ -2238,6 +2483,8 @@ def connect( self.add_mtxl_in(f"/pgen{i}/out") for i in range(len(self.chains)): self.add_mtxl_in(f"/chain{i}/trigger") + if self.iso14443 is not None: + self.add_mtxl_in("/iso14443/trigger") # FPGA left matrix output signals # Update this section when adding new modules with inputs @@ -2259,6 +2506,10 @@ def connect( self.add_mtxl_out(f"/chain{i}/event{j}") for i in range(len(self.clocks)): self.add_mtxl_out(f"/clock{i}/glitch") + if self.iso14443 is not None: + self.add_mtxl_out("/iso14443/rx") + self.add_mtxl_out("/iso14443/clock_13_56") + self.add_mtxl_out("/iso14443/tearing") # FPGA right matrix input signals # Update this section when adding new modules with outpus @@ -2290,6 +2541,9 @@ def connect( self.add_mtxr_in(f"/chain{i}/trigger") for i in range(len(self.clocks)): self.add_mtxr_in(f"/clock{i}/out") + if self.version >= parse_version("0.10"): + self.add_mtxr_in("/iso14443/tx") + self.add_mtxr_in("/iso14443/trigger") # FPGA right matrix output signals self.add_mtxr_out("/io/a0") @@ -2355,3 +2609,5 @@ def reset_config(self, init_ios=False): i2c.reset_config() for spi in self.spis: spi.reset_registers() + if self.iso14443 is not None: + self.iso14443.reset() diff --git a/api/scaffold/bus.py b/api/scaffold/bus.py index bdd4f18..849a5a7 100644 --- a/api/scaffold/bus.py +++ b/api/scaffold/bus.py @@ -318,7 +318,7 @@ class ScaffoldBus: """ MAX_CHUNK = 255 - FIFO_SIZE = 512 + FIFO_SIZE = 2048 def __init__(self, sys_freq, baudrate): """ diff --git a/api/scaffold/iso14443.py b/api/scaffold/iso14443.py new file mode 100644 index 0000000..00fecbf --- /dev/null +++ b/api/scaffold/iso14443.py @@ -0,0 +1,414 @@ +# This file is part of Scaffold +# +# Scaffold is free software: you can redistribute it and/or modify +# it under the terms of the GNU Lesser General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU Lesser General Public License for more details. +# +# You should have received a copy of the GNU Lesser General Public License +# along with this program. If not, see . +# +# +# Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +from . import Scaffold, Pull +from time import sleep +from enum import Enum +from typing import Optional +import crcmod +import colorama +import time + + +class CRCError(Exception): + """Thrown when CRC of response is invalid""" + + def __init__(self, got: bytes, expected: bytes): + self.got = got + self.expected = expected + + def __str__(self): + return f"Bad CRC: expected {self.expected.hex()}, got {self.got.hex()}." + + +class TRF7970ACommand(int, Enum): + """TRF7970A command code, as defined in the datasheet.""" + + IDLE = 0x00 + SOFTWARE_INIT = 0x03 + RF_COLLISION_AVOIDANCE = 0x04 + RESPONSE_RF_COLLISION_AVOIDANCE_1 = 0x05 + RESPONSE_RF_COLLISION_AVOIDANCE_2 = 0x06 + RESET_FIFO = 0x0F + TRANSMISSION_WITHOUT_CRC = 0x10 + TRANSMISSION_WITH_CRC = 0x11 + DELAYED_TRANSMISSION_WITHOUT_CRC = 0x12 + DELAYED_TRANSMISSION_WITH_CRC = 0x13 + END_OF_FRAME_AND_TRANSMIT_NEXT_TIME_SLOT = 0x14 + BLOCK_RECEIVER = 0x16 + ENABLE_RECEIVER = 0x17 + TEST_INTERNAL_RF = 0x18 + TEST_EXTERNAL_RF = 0x19 + + +class TRF7970ARegister(int, Enum): + """TRF7970A register addresses, as defined in the datasheet.""" + + CHIP_STATUS_CONTROL = 0x00 + ISO_CONTROL = 0x01 + ISO_IEC_14443B_TX_OPTIONS = 0x02 + ISO_IEC_14443A_HIGH_BIT_RATE_OPTIONS = 0x03 + TX_TIMER_CONTROL_HIGH = 0x04 + TX_TIMER_CONTROL_LOW = 0x05 + TX_PULSE_LENGTH_CONTROL = 0x06 + RX_NO_RESPONSE_WAIT_TIME = 0x07 + RX_WAIT_TIME = 0x08 + MODULATOR_AND_SYS_CLK_CONTROL = 0x09 + RX_SPECIAL_SETTING = 0x0A + REGULATOR_AND_IO_CONTROL = 0x0B + SPECIAL_FUNCTION_1 = 0x10 + SPECIAL_FUNCTION_2 = 0x11 + ADJUSTABLE_FIFO_IRQ_LEVELS = 0x14 + NFC_LOW_FIELD_LEVEL = 0x16 + NFCID1_NUMBER = 0x17 + NFC_TARGET_DETECTION_LEVEL = 0x18 + NFC_TARGET_PROTOCOL = 0x19 + IRQ_STATUS = 0x0C + COLLISION_POSITION_AND_INTERRUPT_MASK = 0x0D + COLLISION_POSITION = 0x0E + RSSI_LEVELS_AND_OSCILLATOR_STATUS = 0x0F + RAM_1 = 0x12 + RAM_2 = 0x13 + TEST_1 = 0x1A + TEST_2 = 0x1B + FIFO_STATUS = 0x1C + TX_LENGTH_BYTE_1 = 0x1D + TX_LENGTH_BUTE_2 = 0x1E + FIFO_IO = 0x1F + + +class NFC: + """ + NFC daughterboard driver to enable ISO 14443 communication with contactless + cards. + + Uses a special scaffold daughterboard with the Texas Instruments TRF7970A + RFID chip as a RF front-end. The chip is controlled via SPI using Scaffold + SPI peripheral. + + The daughterboard has the following pinout: + + - D0: MOD modulation input + - D1: SPI MISO + - D2: Serial clock out + - D6: IO0 + - D7: IO1 + - D8: IO2 + - D9: IO3 + - D10: SPI SS + - D11: SPI MOSI + - D12: SPI SCK + - D13: ASK/OOK + - D14: EN + - D15: SYS_CLK + """ + + def __init__(self, scaffold: Scaffold, platform_socket: bool = False): + self.scaffold = scaffold + if not platform_socket: + scaffold.power.dut = 0 + else: + scaffold.power.platform = 0 + self.trigger = scaffold.iso14443.trigger + self.spi = scaffold.spi0 + self.iso14443 = scaffold.iso14443 + self.platform_socket = platform_socket + if not platform_socket: + self.pin_mosi = scaffold.d11 + self.pin_miso = scaffold.d1 + self.pin_sck = scaffold.d12 + self.pin_ss = scaffold.d10 + self.pin_en = scaffold.d14 + self.pin_io0 = scaffold.d6 + self.pin_io1 = scaffold.d7 + self.pin_io2 = scaffold.d8 + self.pin_clock_13_56 = scaffold.d15 + scaffold.d0 << self.iso14443.tx + scaffold.d1 >> self.iso14443.rx + else: + self.pin_mosi = scaffold.p11 + self.pin_miso = scaffold.p1 + self.pin_sck = scaffold.p12 + self.pin_ss = scaffold.p10 + self.pin_en = scaffold.p14 + self.pin_io0 = scaffold.p6 + self.pin_io1 = scaffold.p7 + self.pin_io2 = scaffold.p8 + self.pin_clock_13_56 = scaffold.p15 + scaffold.p0 << self.iso14443.tx + scaffold.p1 >> self.iso14443.rx + self.pin_clock_13_56 >> self.iso14443.clock_13_56 + + self.pin_en << 0 + self.pin_ss << 0 + + # According to the datasheet IO0, IO1 and IO2 must be tied to the + # following values to select SPI communication with Slave Select. + self.pin_io0 << 0 + self.pin_io1 << 1 + self.pin_io2 << 1 + + self.spi.mosi >> self.pin_mosi + self.spi.miso << self.pin_miso + self.spi.sck >> self.pin_sck + self.spi.phase = 1 + self.spi.frequency = 1000000 + + #self.pin_miso.pull = Pull.UP + self.crc_a = crcmod.mkCrcFun(0x11021, 0x6363, rev=True) + + # Used for I-block encapsulation + self.block_number = 0 + + self.verbose = False + self.log_t_start = None + + def startup(self): + """Power-on the NFC daughterboard and initialize the TRF7970A front-end.""" + if not self.platform_socket: + self.scaffold.power.dut = 1 + else: + self.scaffold.power.platform = 1 + sleep(2e-3) + self.pin_ss << 1 + sleep(4e-3) + self.pin_en << 1 + # Wait for the TRF7970A to be ready. + # Below 2 ms sometimes it fails. + sleep(4e-3) + # Software reset + self.command(TRF7970ACommand.SOFTWARE_INIT) + self.command(TRF7970ACommand.IDLE) + sleep(1e-3) + # Read some registers and check their value after reset, as a sanity check. + regs = ( + (TRF7970ARegister.CHIP_STATUS_CONTROL, 0x01), + (TRF7970ARegister.ISO_CONTROL, 0x21), + (TRF7970ARegister.ISO_IEC_14443B_TX_OPTIONS, 0x00), + (TRF7970ARegister.ISO_IEC_14443A_HIGH_BIT_RATE_OPTIONS, 0x00), + (TRF7970ARegister.TX_TIMER_CONTROL_HIGH, 0xC1), + # We could read more, but this seems good enough to be confident. + ) + for reg, value in regs: + if self.register_read(reg) != value: + print(f"DEBUG {reg} {value}") + raise RuntimeError("TRF7970A not recognized") + # Disable 27.12 MHz crystal, our crystal is 13.56 MHz. + # Select OOK 100% modulation depth + self.register_write(TRF7970ARegister.MODULATOR_AND_SYS_CLK_CONTROL, 0b00110001) + self.register_write(TRF7970ARegister.ISO_CONTROL, 0b00001000) + # Direct mode, RF ON, full output power + # TODO bit 0 to 0 + self.register_write(TRF7970ARegister.CHIP_STATUS_CONTROL, 0b00100001) + self.register_write( + TRF7970ARegister.CHIP_STATUS_CONTROL, 0b01100001, keep_ss_low=True + ) + self.spi.transmit(0) + # Reset the block number + self.block_number = 0 + self.iso14443.power_on() + + def register_read(self, address: int) -> int: + """ + Read a register of the TRF7970A front-end. + + :param address: 5-bit address. + """ + assert address in range(32) + self.pin_ss << 0 + self.spi.transmit(0b01000000 | address) + result = self.spi.transmit(0) + self.pin_ss << 1 + return result + + def register_write(self, address: int, value: int, keep_ss_low: bool = False): + """ + Write a register of the TRF7970A front-end. + + :param address: 5-bit address. + :param value: Byte to be written. + """ + assert address in range(32) + assert value in range(256) + self.pin_ss << 0 + self.spi.transmit(address, read=False) + self.spi.transmit(value, read=False) + if not keep_ss_low: + self.pin_ss << 1 + + def command(self, value: TRF7970ACommand): + """ + Sends a command to the TRF7970A front-end. + + :param value: 5-bit command index. + """ + assert value in range(32) + self.pin_ss << 0 + self.spi.transmit(0x80 | value, read=False) + self.pin_ss << 1 + + def reqa(self, read=True) -> bytes: + """Sends REQA frame. Returns ATQA response bytes.""" + data = 0x26 + if self.verbose: + self.log(True, "REQA", bytes((data,))) + self.iso14443.transmit_short(data) + result = self.iso14443.receive(bit_size_hint=19) + if self.verbose: + self.log(False, "ATQA", result) + assert len(result) == 2 + return result + + def log(self, rw: bool, what: str, data: bytes): + """ + Print log line in standard output. + + :param rw: True if data is sent to the card, card if data is read from the card. + :param str: Frame type indication ("REQA", "ATQA", etc.). + :param data: Data read/written from/to the card. + """ + if rw: + print(colorama.Fore.YELLOW, end="") + else: + print(colorama.Fore.CYAN, end="") + sep = "│" + rw_str = {False: "←", True: "→"}[rw] + desc_lines = [] + while len(data) > 0: + desc_lines.append(data[:32].hex()) + data = data[32:] + if len(desc_lines) == 0: + desc_lines.append("") + t = time.time() + if self.log_t_start is None: + self.log_t_start = t + dt = t - self.log_t_start + print( + f"{dt * 1000.0:>9.3f} ms {sep} Scaffold {rw_str} Card {sep} {what:<4} " + f"{sep} {desc_lines[0]}" + ) + for line in desc_lines[1:]: + print(" " * 13 + sep + " " * 17 + sep + " " * 6 + sep + f" {line}") + print(colorama.Fore.RESET, end="") + + def transmit( + self, + data: bytes, + what_tx: str = "", + what_rx: str = "", + bit_size_hint: Optional[int] = None, + ) -> bytes: + """ + Transmits data to the card and reads the response. If card does not respond an + empty byte string is returned. + + :param data: Bytes to be transmitted. + :param what_tx: Transmitted frame type indication ("REQA" for instance). + used when verbose is True. + :param what_rx: Received frame type indication ("ATQA" for instance). + used when verbose is True. + :param bit_size_hint: If the number of expected bits in the response is known, + it can be indicated as a hint to improve response readout performance. This + number includes start and parity bits. If response has more bits than + expected, it will still be read completely. + """ + if self.verbose: + self.log(True, what_tx, data) + self.iso14443.transmit(data) + response = self.iso14443.receive(bit_size_hint=bit_size_hint) + if self.verbose: + self.log(False, what_rx, response) + return response + + def transmit_with_crc( + self, + data: bytes, + what_tx: str = "", + what_rx: str = "", + bit_size_hint: int = None, + ) -> bytes: + """ + Transmits data, with CRC appended, reads the response and checks the received + CRC validity. + + :param data: Bytes to be transmitted. + :param what_tx: Transmitted frame type indication ("SEL" for instance). + used when verbose is True. + :param what_rx: Received frame type indication ("SAK" for instance). + used when verbose is True. + :param bit_size_hint: If the number of expected bits in the response is known, + it can be indicated as a hint to improve response readout performance. This + number includes start and parity bits. If response has more bits than + expected, it will still be read completely. + :raises CRCError: If received CRC is invalid. + """ + frame = data + self.crc_a(data).to_bytes(2, "little") + response = self.transmit( + frame, what_tx=what_tx, what_rx=what_rx, bit_size_hint=bit_size_hint + ) + assert len(response) >= 2 + got_crc = response[-2:] + expected_crc = self.crc_a(response[:-2]).to_bytes(2, "little") + if got_crc != expected_crc: + raise CRCError(got_crc, expected_crc) + return response[:-2] + + def sel(self, level: int) -> bytes: + """ + Performs selection. This sends a SEL frame, reads the returned UID by the card + and selects it with another SEL frame. Returns the UID returned during + selection. + Note: the returned UID can be partial, only the bytes corresponding to the + selected cascade level are returned. + + :param level: Cascade level. Must be 1, 2 or 3. + """ + sel = 0x93 + 2 * (level - 1) + uid = self.transmit( + bytes((sel, 0x20)), what_tx="SEL", what_rx="UID", bit_size_hint=46 + ) + self.transmit_with_crc( + bytes((sel, 0x70)) + uid, what_tx="SEL", what_rx="SAK", bit_size_hint=28 + ) + return uid + + def rats(self) -> bytes: + """ + Sends RATS (Request for Answer To Select) frame and returns PICC + response. + + FSDI is set to 256 bytes. This value is the highest possible and is + supported by Scaffold. CID is set to 0. + """ + return self.transmit_with_crc(b"\xe0\x80", what_tx="RATS", what_rx="ATS") + + def apdu(self, apdu: bytes) -> bytes: + """ + Sends an APDU and returns the response. Exchange is performed using I-blocks, + and waiting time extension requests are handled transparently. + + :param apdu: APDU to be transmitted. Does not include I-block header or CRC. + """ + iblock = bytes((0x02 + self.block_number,)) + apdu + self.block_number = (self.block_number + 1) % 2 + response = self.transmit_with_crc(iblock) + while response[0] & 0xC0 == 0xC0: + # S-block with waiting time extension request + response = self.transmit_with_crc(b"\xf2" + bytes((response[1],))) + return response[1:] diff --git a/daughter-boards/nfc/fp-lib-table b/daughter-boards/nfc/fp-lib-table new file mode 100644 index 0000000..a04d910 --- /dev/null +++ b/daughter-boards/nfc/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "library")(type "KiCad")(uri "${KIPRJMOD}/library.pretty")(options "")(descr "")) +) diff --git a/daughter-boards/nfc/gerber/nfc-B_Cu.gbr b/daughter-boards/nfc/gerber/nfc-B_Cu.gbr new file mode 100644 index 0000000..d96600b --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-B_Cu.gbr @@ -0,0 +1,1621 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L2,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 #@! TA.AperFunction,ComponentPad* +%ADD10R,1.700000X1.700000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ComponentPad* +%ADD11O,1.700000X1.700000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD12C,0.600000*% +G04 #@! TD* +G04 #@! TA.AperFunction,Conductor* +%ADD13C,0.200000*% +G04 #@! TD* +G04 APERTURE END LIST* +D10* +X81280000Y-147320000D03* +D11* +X81280000Y-144780000D03* +X83820000Y-147320000D03* +X83820000Y-144780000D03* +X86360000Y-147320000D03* +X86360000Y-144780000D03* +X88900000Y-147320000D03* +X88900000Y-144780000D03* +X91440000Y-147320000D03* +X91440000Y-144780000D03* +X93980000Y-147320000D03* +X93980000Y-144780000D03* +X96520000Y-147320000D03* +X96520000Y-144780000D03* +X99060000Y-147320000D03* +X99060000Y-144780000D03* +X101600000Y-147320000D03* +X101600000Y-144780000D03* +X104140000Y-147320000D03* +X104140000Y-144780000D03* +D10* +X81280000Y-68580000D03* +D11* +X81280000Y-66040000D03* +X83820000Y-68580000D03* +X83820000Y-66040000D03* +X86360000Y-68580000D03* +X86360000Y-66040000D03* +X88900000Y-68580000D03* +X88900000Y-66040000D03* +X91440000Y-68580000D03* +X91440000Y-66040000D03* +X93980000Y-68580000D03* +X93980000Y-66040000D03* +X96520000Y-68580000D03* +X96520000Y-66040000D03* +X99060000Y-68580000D03* +X99060000Y-66040000D03* +X101600000Y-68580000D03* +X101600000Y-66040000D03* +X104140000Y-68580000D03* +X104140000Y-66040000D03* +D12* +X90680747Y-134245595D03* +X94615000Y-138557000D03* +X97790000Y-151384000D03* +X108204000Y-130556000D03* +X104140000Y-124460000D03* +X101680000Y-140920000D03* +X77216000Y-146812000D03* +X87630000Y-151384000D03* +X85598000Y-151384000D03* +X95310000Y-131672735D03* +X84010000Y-128920000D03* +X93880000Y-130520000D03* +X85460000Y-133930000D03* +X99822000Y-151384000D03* +X89662000Y-151384000D03* +X108204000Y-142748000D03* +X100711000Y-118520000D03* +X85380000Y-136520000D03* +X108204000Y-124460000D03* +X106172000Y-124460000D03* +X81280000Y-124460000D03* +X108204000Y-134620000D03* +X98880000Y-140920000D03* +X77216000Y-140716000D03* +X86380000Y-132720000D03* +X83312000Y-124460000D03* +X83566000Y-151384000D03* +X77216000Y-134620000D03* +X90680000Y-140920000D03* +X77216000Y-128524000D03* +X81534000Y-151384000D03* +X108204000Y-144780000D03* +X84580000Y-140620000D03* +X77216000Y-142748000D03* +X86380000Y-130120000D03* +X85344000Y-124460000D03* +X77216000Y-124460000D03* +X91694000Y-151384000D03* +X89408000Y-124460000D03* +X108204000Y-136652000D03* +X88980000Y-132720000D03* +X93880000Y-140920000D03* +X96480000Y-140920000D03* +X77216000Y-144780000D03* +X108204000Y-128524000D03* +X87376000Y-124460000D03* +X79502000Y-151384000D03* +X87480000Y-130120000D03* +X95758000Y-151384000D03* +X84080000Y-135320000D03* +X77216000Y-126492000D03* +X93472000Y-124460000D03* +X77216000Y-138684000D03* +X108204000Y-148844000D03* +X108204000Y-140716000D03* +X101854000Y-151384000D03* +X95504000Y-124460000D03* +X105918000Y-151384000D03* +X77216000Y-132588000D03* +X86359000Y-126804000D03* +X89580000Y-136520000D03* +X91440000Y-124460000D03* +X108204000Y-132588000D03* +X108204000Y-146812000D03* +X108204000Y-138684000D03* +X79248000Y-124460000D03* +X89030000Y-130070000D03* +X108204000Y-126492000D03* +X77216000Y-130556000D03* +X77216000Y-136652000D03* +X93726000Y-151384000D03* +X77216000Y-148844000D03* +X89480000Y-134920000D03* +X85471000Y-128905000D03* +X87610000Y-134880000D03* +X83810000Y-131880000D03* +X97580000Y-140920000D03* +X88210000Y-127930000D03* +X83810000Y-133480006D03* +X83810000Y-132680003D03* +D13* +X90680747Y-134370804D02* +X94615000Y-138305057D01* +X94615000Y-138305057D02* +X94615000Y-138557000D01* +X90680747Y-134245595D02* +X90680747Y-134370804D01* +X100710000Y-118520000D02* +X100710000Y-124289000D01* +X85480000Y-128914000D02* +X85471000Y-128905000D01* +X85480000Y-130920000D02* +X85480000Y-128914000D01* +X89480000Y-134920000D02* +X85480000Y-130920000D01* +X89880000Y-137720000D02* +X87610000Y-135450000D01* +X87610000Y-135450000D02* +X87610000Y-134880000D01* +X92000000Y-137720000D02* +X89880000Y-137720000D01* +X99060000Y-144780000D02* +X92000000Y-137720000D01* +X85081000Y-135886100D02* +X85080000Y-135887100D01* +X84411000Y-132431060D02* +X84411000Y-132663963D01* +X84811000Y-133063963D02* +X84811000Y-134251000D01* +X92700000Y-143500000D02* +X93980000Y-144780000D01* +X85081000Y-134521000D02* +X85081000Y-135886100D01* +X84058943Y-132079003D02* +X84411000Y-132431060D01* +X84811000Y-134251000D02* +X85081000Y-134521000D01* +X84680000Y-136370057D02* +X84680000Y-138820000D01* +X85080000Y-135887100D02* +X85080000Y-135970057D01* +X85080000Y-135970057D02* +X84680000Y-136370057D01* +X89360000Y-143500000D02* +X92700000Y-143500000D01* +X83810000Y-131880000D02* +X83810000Y-132079003D01* +X84680000Y-138820000D02* +X89360000Y-143500000D01* +X83810000Y-132079003D02* +X84058943Y-132079003D01* +X84411000Y-132663963D02* +X84811000Y-133063963D01* +X97580000Y-140920000D02* +X97580000Y-140120000D01* +X88210000Y-130750000D02* +X88210000Y-127930000D01* +X97580000Y-140120000D02* +X88210000Y-130750000D01* +X83810000Y-133480006D02* +X82550000Y-134740006D01* +X82550000Y-146050000D02* +X81280000Y-147320000D01* +X82550000Y-134740006D02* +X82550000Y-146050000D01* +X84680000Y-135720000D02* +X84680000Y-134687100D01* +X83820000Y-136580000D02* +X84680000Y-135720000D01* +X84410000Y-134417100D02* +X84410000Y-133230063D01* +X83820000Y-144780000D02* +X83820000Y-136580000D01* +X83859940Y-132680003D02* +X83810000Y-132680003D01* +X84410000Y-133230063D02* +X83859940Y-132680003D01* +X84680000Y-134687100D02* +X84410000Y-134417100D01* +G04 #@! TA.AperFunction,Conductor* +G36* +X84045978Y-133954506D02* +G01* +X84093528Y-133993011D01* +X84109500Y-134046930D01* +X84109500Y-134456664D01* +X84129977Y-134533085D01* +X84129979Y-134533090D01* +X84152839Y-134572685D01* +X84152840Y-134572686D01* +X84169540Y-134601611D01* +X84350505Y-134782576D01* +X84378281Y-134837091D01* +X84379500Y-134852578D01* +X84379500Y-135554521D01* +X84360593Y-135612712D01* +X84350504Y-135624525D01* +X83635489Y-136339540D01* +X83635488Y-136339539D01* +X83579539Y-136395489D01* +X83539980Y-136464007D01* +X83539978Y-136464011D01* +X83519500Y-136540435D01* +X83519500Y-143701267D01* +X83500593Y-143759458D01* +X83458387Y-143792731D01* +X83322401Y-143849059D01* +X83150348Y-143964020D01* +X83150345Y-143964022D01* +X83150345Y-143964023D01* +X83019501Y-144094866D01* +X82964987Y-144122642D01* +X82904555Y-144113071D01* +X82861290Y-144069806D01* +X82850500Y-144024861D01* +X82850500Y-134905484D01* +X82869407Y-134847293D01* +X82879490Y-134835486D01* +X83705474Y-134009501D01* +X83759991Y-133981725D01* +X83775478Y-133980506D01* +X83875890Y-133980506D01* +X83875892Y-133980506D01* +X83984879Y-133951303D01* +X84045978Y-133954506D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X108678691Y-123970907D02* +G01* +X108714655Y-124020407D01* +X108719500Y-124051000D01* +X108719500Y-149611678D01* +X108700593Y-149669869D01* +X108690504Y-149681682D01* +X106501682Y-151870504D01* +X106447165Y-151898281D01* +X106431678Y-151899500D01* +X78988322Y-151899500D01* +X78930131Y-151880593D01* +X78918318Y-151870504D01* +X76729496Y-149681682D01* +X76701719Y-149627165D01* +X76700500Y-149611678D01* +X76700500Y-144676532D01* +X80229500Y-144676532D01* +X80229500Y-144883467D01* +X80269869Y-145086418D01* +X80349058Y-145277597D01* +X80464020Y-145449651D01* +X80464023Y-145449655D01* +X80610345Y-145595977D01* +X80782402Y-145710941D01* +X80973580Y-145790130D01* +X81176535Y-145830500D01* +X81176536Y-145830500D01* +X81383464Y-145830500D01* +X81383465Y-145830500D01* +X81586420Y-145790130D01* +X81777598Y-145710941D01* +X81949655Y-145595977D01* +X82080498Y-145465133D01* +X82135013Y-145437358D01* +X82195445Y-145446929D01* +X82238710Y-145490194D01* +X82249500Y-145535139D01* +X82249500Y-145884521D01* +X82230593Y-145942712D01* +X82220504Y-145954525D01* +X81934525Y-146240504D01* +X81880008Y-146268281D01* +X81864521Y-146269500D01* +X80410252Y-146269500D01* +X80410251Y-146269500D01* +X80410241Y-146269501D01* +X80351772Y-146281132D01* +X80351766Y-146281134D01* +X80285451Y-146325445D01* +X80285445Y-146325451D01* +X80241134Y-146391766D01* +X80241132Y-146391772D01* +X80229501Y-146450241D01* +X80229500Y-146450253D01* +X80229500Y-148189746D01* +X80229501Y-148189758D01* +X80241132Y-148248227D01* +X80241134Y-148248233D01* +X80285445Y-148314548D01* +X80285448Y-148314552D01* +X80351769Y-148358867D01* +X80396231Y-148367711D01* +X80410241Y-148370498D01* +X80410246Y-148370498D01* +X80410252Y-148370500D01* +X80410253Y-148370500D01* +X82149747Y-148370500D01* +X82149748Y-148370500D01* +X82208231Y-148358867D01* +X82274552Y-148314552D01* +X82318867Y-148248231D01* +X82330500Y-148189748D01* +X82330500Y-147216532D01* +X82769500Y-147216532D01* +X82769500Y-147423467D01* +X82809869Y-147626418D01* +X82889058Y-147817597D01* +X83004020Y-147989651D01* +X83004023Y-147989655D01* +X83150345Y-148135977D01* +X83322402Y-148250941D01* +X83513580Y-148330130D01* +X83716535Y-148370500D01* +X83716536Y-148370500D01* +X83923464Y-148370500D01* +X83923465Y-148370500D01* +X84126420Y-148330130D01* +X84317598Y-148250941D01* +X84489655Y-148135977D01* +X84635977Y-147989655D01* +X84750941Y-147817598D01* +X84830130Y-147626420D01* +X84870500Y-147423465D01* +X84870500Y-147216535D01* +X84870499Y-147216532D01* +X85309500Y-147216532D01* +X85309500Y-147423467D01* +X85349869Y-147626418D01* +X85429058Y-147817597D01* +X85544020Y-147989651D01* +X85544023Y-147989655D01* +X85690345Y-148135977D01* +X85862402Y-148250941D01* +X86053580Y-148330130D01* +X86256535Y-148370500D01* +X86256536Y-148370500D01* +X86463464Y-148370500D01* +X86463465Y-148370500D01* +X86666420Y-148330130D01* +X86857598Y-148250941D01* +X87029655Y-148135977D01* +X87175977Y-147989655D01* +X87290941Y-147817598D01* +X87370130Y-147626420D01* +X87410500Y-147423465D01* +X87410500Y-147216535D01* +X87410499Y-147216532D01* +X87849500Y-147216532D01* +X87849500Y-147423467D01* +X87889869Y-147626418D01* +X87969058Y-147817597D01* +X88084020Y-147989651D01* +X88084023Y-147989655D01* +X88230345Y-148135977D01* +X88402402Y-148250941D01* +X88593580Y-148330130D01* +X88796535Y-148370500D01* +X88796536Y-148370500D01* +X89003464Y-148370500D01* +X89003465Y-148370500D01* +X89206420Y-148330130D01* +X89397598Y-148250941D01* +X89569655Y-148135977D01* +X89715977Y-147989655D01* +X89830941Y-147817598D01* +X89910130Y-147626420D01* +X89950500Y-147423465D01* +X89950500Y-147216535D01* +X89950499Y-147216532D01* +X90389500Y-147216532D01* +X90389500Y-147423467D01* +X90429869Y-147626418D01* +X90509058Y-147817597D01* +X90624020Y-147989651D01* +X90624023Y-147989655D01* +X90770345Y-148135977D01* +X90942402Y-148250941D01* +X91133580Y-148330130D01* +X91336535Y-148370500D01* +X91336536Y-148370500D01* +X91543464Y-148370500D01* +X91543465Y-148370500D01* +X91746420Y-148330130D01* +X91937598Y-148250941D01* +X92109655Y-148135977D01* +X92255977Y-147989655D01* +X92370941Y-147817598D01* +X92450130Y-147626420D01* +X92490500Y-147423465D01* +X92490500Y-147216535D01* +X92490499Y-147216532D01* +X92929500Y-147216532D01* +X92929500Y-147423467D01* +X92969869Y-147626418D01* +X93049058Y-147817597D01* +X93164020Y-147989651D01* +X93164023Y-147989655D01* +X93310345Y-148135977D01* +X93482402Y-148250941D01* +X93673580Y-148330130D01* +X93876535Y-148370500D01* +X93876536Y-148370500D01* +X94083464Y-148370500D01* +X94083465Y-148370500D01* +X94286420Y-148330130D01* +X94477598Y-148250941D01* +X94649655Y-148135977D01* +X94795977Y-147989655D01* +X94910941Y-147817598D01* +X94990130Y-147626420D01* +X95030500Y-147423465D01* +X95030500Y-147216535D01* +X95030499Y-147216532D01* +X95469500Y-147216532D01* +X95469500Y-147423467D01* +X95509869Y-147626418D01* +X95589058Y-147817597D01* +X95704020Y-147989651D01* +X95704023Y-147989655D01* +X95850345Y-148135977D01* +X96022402Y-148250941D01* +X96213580Y-148330130D01* +X96416535Y-148370500D01* +X96416536Y-148370500D01* +X96623464Y-148370500D01* +X96623465Y-148370500D01* +X96826420Y-148330130D01* +X97017598Y-148250941D01* +X97189655Y-148135977D01* +X97335977Y-147989655D01* +X97450941Y-147817598D01* +X97530130Y-147626420D01* +X97570500Y-147423465D01* +X97570500Y-147216535D01* +X97570499Y-147216532D01* +X98009500Y-147216532D01* +X98009500Y-147423467D01* +X98049869Y-147626418D01* +X98129058Y-147817597D01* +X98244020Y-147989651D01* +X98244023Y-147989655D01* +X98390345Y-148135977D01* +X98562402Y-148250941D01* +X98753580Y-148330130D01* +X98956535Y-148370500D01* +X98956536Y-148370500D01* +X99163464Y-148370500D01* +X99163465Y-148370500D01* +X99366420Y-148330130D01* +X99557598Y-148250941D01* +X99729655Y-148135977D01* +X99875977Y-147989655D01* +X99990941Y-147817598D01* +X100070130Y-147626420D01* +X100079762Y-147577996D01* +X100109656Y-147524613D01* +X100165221Y-147498996D01* +X100225231Y-147510932D01* +X100266764Y-147555861D01* +X100274640Y-147581822D01* +X100283241Y-147636122D01* +X100348904Y-147838215D01* +X100445375Y-148027552D01* +X100570277Y-148199464D01* +X100720535Y-148349722D01* +X100892447Y-148474624D01* +X101081784Y-148571095D01* +X101283877Y-148636758D01* +X101349999Y-148647231D01* +X101350000Y-148647230D01* +X101350000Y-147753012D01* +X101407007Y-147785925D01* +X101534174Y-147820000D01* +X101665826Y-147820000D01* +X101792993Y-147785925D01* +X101850000Y-147753012D01* +X101850000Y-148647231D01* +X101916122Y-148636758D01* +X102118215Y-148571095D01* +X102307552Y-148474624D01* +X102479464Y-148349722D01* +X102629722Y-148199464D01* +X102754624Y-148027552D01* +X102851095Y-147838215D01* +X102916759Y-147636121D01* +X102925359Y-147581823D01* +X102953136Y-147527307D01* +X103007653Y-147499529D01* +X103068085Y-147509100D01* +X103111349Y-147552365D01* +X103120238Y-147577996D01* +X103129869Y-147626418D01* +X103209058Y-147817597D01* +X103324020Y-147989651D01* +X103324023Y-147989655D01* +X103470345Y-148135977D01* +X103642402Y-148250941D01* +X103833580Y-148330130D01* +X104036535Y-148370500D01* +X104036536Y-148370500D01* +X104243464Y-148370500D01* +X104243465Y-148370500D01* +X104446420Y-148330130D01* +X104637598Y-148250941D01* +X104809655Y-148135977D01* +X104955977Y-147989655D01* +X105070941Y-147817598D01* +X105150130Y-147626420D01* +X105190500Y-147423465D01* +X105190500Y-147216535D01* +X105150130Y-147013580D01* +X105070941Y-146822402D01* +X104955977Y-146650345D01* +X104809655Y-146504023D01* +X104729182Y-146450253D01* +X104637597Y-146389058D01* +X104446418Y-146309869D01* +X104243467Y-146269500D01* +X104243465Y-146269500D01* +X104036535Y-146269500D01* +X104036532Y-146269500D01* +X103833581Y-146309869D01* +X103642402Y-146389058D01* +X103470348Y-146504020D01* +X103324020Y-146650348D01* +X103209058Y-146822402D01* +X103129869Y-147013581D01* +X103120238Y-147062003D01* +X103090341Y-147115387D01* +X103034776Y-147141003D01* +X102974766Y-147129066D01* +X102933234Y-147084136D01* +X102925359Y-147058176D01* +X102916759Y-147003878D01* +X102851095Y-146801784D01* +X102754624Y-146612447D01* +X102629722Y-146440535D01* +X102479464Y-146290277D01* +X102307552Y-146165375D01* +X102118215Y-146068904D01* +X101916122Y-146003241D01* +X101861822Y-145994640D01* +X101807306Y-145966862D01* +X101779529Y-145912345D01* +X101789101Y-145851913D01* +X101832366Y-145808649D01* +X101857993Y-145799762D01* +X101906420Y-145790130D01* +X102097598Y-145710941D01* +X102269655Y-145595977D01* +X102415977Y-145449655D01* +X102530941Y-145277598D01* +X102610130Y-145086420D01* +X102650500Y-144883465D01* +X102650500Y-144676535D01* +X102650499Y-144676532D01* +X103089500Y-144676532D01* +X103089500Y-144883467D01* +X103129869Y-145086418D01* +X103209058Y-145277597D01* +X103324020Y-145449651D01* +X103324023Y-145449655D01* +X103470345Y-145595977D01* +X103642402Y-145710941D01* +X103833580Y-145790130D01* +X104036535Y-145830500D01* +X104036536Y-145830500D01* +X104243464Y-145830500D01* +X104243465Y-145830500D01* +X104446420Y-145790130D01* +X104637598Y-145710941D01* +X104809655Y-145595977D01* +X104955977Y-145449655D01* +X105070941Y-145277598D01* +X105150130Y-145086420D01* +X105190500Y-144883465D01* +X105190500Y-144676535D01* +X105150130Y-144473580D01* +X105070941Y-144282402D01* +X104955977Y-144110345D01* +X104809655Y-143964023D01* +X104667303Y-143868907D01* +X104637597Y-143849058D01* +X104446418Y-143769869D01* +X104243467Y-143729500D01* +X104243465Y-143729500D01* +X104036535Y-143729500D01* +X104036532Y-143729500D01* +X103833581Y-143769869D01* +X103642402Y-143849058D01* +X103470348Y-143964020D01* +X103324020Y-144110348D01* +X103209058Y-144282402D01* +X103129869Y-144473581D01* +X103089500Y-144676532D01* +X102650499Y-144676532D01* +X102610130Y-144473580D01* +X102530941Y-144282402D01* +X102415977Y-144110345D01* +X102269655Y-143964023D01* +X102127303Y-143868907D01* +X102097597Y-143849058D01* +X101906418Y-143769869D01* +X101703467Y-143729500D01* +X101703465Y-143729500D01* +X101496535Y-143729500D01* +X101496532Y-143729500D01* +X101293581Y-143769869D01* +X101102402Y-143849058D01* +X100930348Y-143964020D01* +X100784020Y-144110348D01* +X100669058Y-144282402D01* +X100589869Y-144473581D01* +X100549500Y-144676532D01* +X100549500Y-144883467D01* +X100589869Y-145086418D01* +X100669058Y-145277597D01* +X100784020Y-145449651D01* +X100784023Y-145449655D01* +X100930345Y-145595977D01* +X101102402Y-145710941D01* +X101293580Y-145790130D01* +X101342003Y-145799762D01* +X101395386Y-145829657D01* +X101421003Y-145885221D01* +X101409067Y-145945231D01* +X101364138Y-145986765D01* +X101338177Y-145994640D01* +X101283877Y-146003241D01* +X101081784Y-146068904D01* +X100892447Y-146165375D01* +X100720535Y-146290277D01* +X100570277Y-146440535D01* +X100445375Y-146612447D01* +X100348904Y-146801784D01* +X100283241Y-147003877D01* +X100274640Y-147058177D01* +X100246862Y-147112694D01* +X100192345Y-147140470D01* +X100131913Y-147130898D01* +X100088649Y-147087633D01* +X100079762Y-147062006D01* +X100070130Y-147013580D01* +X99990941Y-146822402D01* +X99875977Y-146650345D01* +X99729655Y-146504023D01* +X99649182Y-146450253D01* +X99557597Y-146389058D01* +X99366418Y-146309869D01* +X99163467Y-146269500D01* +X99163465Y-146269500D01* +X98956535Y-146269500D01* +X98956532Y-146269500D01* +X98753581Y-146309869D01* +X98562402Y-146389058D01* +X98390348Y-146504020D01* +X98244020Y-146650348D01* +X98129058Y-146822402D01* +X98049869Y-147013581D01* +X98009500Y-147216532D01* +X97570499Y-147216532D01* +X97530130Y-147013580D01* +X97450941Y-146822402D01* +X97335977Y-146650345D01* +X97189655Y-146504023D01* +X97109182Y-146450253D01* +X97017597Y-146389058D01* +X96826418Y-146309869D01* +X96623467Y-146269500D01* +X96623465Y-146269500D01* +X96416535Y-146269500D01* +X96416532Y-146269500D01* +X96213581Y-146309869D01* +X96022402Y-146389058D01* +X95850348Y-146504020D01* +X95704020Y-146650348D01* +X95589058Y-146822402D01* +X95509869Y-147013581D01* +X95469500Y-147216532D01* +X95030499Y-147216532D01* +X94990130Y-147013580D01* +X94910941Y-146822402D01* +X94795977Y-146650345D01* +X94649655Y-146504023D01* +X94569182Y-146450253D01* +X94477597Y-146389058D01* +X94286418Y-146309869D01* +X94083467Y-146269500D01* +X94083465Y-146269500D01* +X93876535Y-146269500D01* +X93876532Y-146269500D01* +X93673581Y-146309869D01* +X93482402Y-146389058D01* +X93310348Y-146504020D01* +X93164020Y-146650348D01* +X93049058Y-146822402D01* +X92969869Y-147013581D01* +X92929500Y-147216532D01* +X92490499Y-147216532D01* +X92450130Y-147013580D01* +X92370941Y-146822402D01* +X92255977Y-146650345D01* +X92109655Y-146504023D01* +X92029182Y-146450253D01* +X91937597Y-146389058D01* +X91746418Y-146309869D01* +X91543467Y-146269500D01* +X91543465Y-146269500D01* +X91336535Y-146269500D01* +X91336532Y-146269500D01* +X91133581Y-146309869D01* +X90942402Y-146389058D01* +X90770348Y-146504020D01* +X90624020Y-146650348D01* +X90509058Y-146822402D01* +X90429869Y-147013581D01* +X90389500Y-147216532D01* +X89950499Y-147216532D01* +X89910130Y-147013580D01* +X89830941Y-146822402D01* +X89715977Y-146650345D01* +X89569655Y-146504023D01* +X89489182Y-146450253D01* +X89397597Y-146389058D01* +X89206418Y-146309869D01* +X89003467Y-146269500D01* +X89003465Y-146269500D01* +X88796535Y-146269500D01* +X88796532Y-146269500D01* +X88593581Y-146309869D01* +X88402402Y-146389058D01* +X88230348Y-146504020D01* +X88084020Y-146650348D01* +X87969058Y-146822402D01* +X87889869Y-147013581D01* +X87849500Y-147216532D01* +X87410499Y-147216532D01* +X87370130Y-147013580D01* +X87290941Y-146822402D01* +X87175977Y-146650345D01* +X87029655Y-146504023D01* +X86949182Y-146450253D01* +X86857597Y-146389058D01* +X86666418Y-146309869D01* +X86463467Y-146269500D01* +X86463465Y-146269500D01* +X86256535Y-146269500D01* +X86256532Y-146269500D01* +X86053581Y-146309869D01* +X85862402Y-146389058D01* +X85690348Y-146504020D01* +X85544020Y-146650348D01* +X85429058Y-146822402D01* +X85349869Y-147013581D01* +X85309500Y-147216532D01* +X84870499Y-147216532D01* +X84830130Y-147013580D01* +X84750941Y-146822402D01* +X84635977Y-146650345D01* +X84489655Y-146504023D01* +X84409182Y-146450253D01* +X84317597Y-146389058D01* +X84126418Y-146309869D01* +X83923467Y-146269500D01* +X83923465Y-146269500D01* +X83716535Y-146269500D01* +X83716532Y-146269500D01* +X83513581Y-146309869D01* +X83322402Y-146389058D01* +X83150348Y-146504020D01* +X83004020Y-146650348D01* +X82889058Y-146822402D01* +X82809869Y-147013581D01* +X82769500Y-147216532D01* +X82330500Y-147216532D01* +X82330500Y-146735478D01* +X82349407Y-146677287D01* +X82359490Y-146665480D01* +X82790460Y-146234511D01* +X82830021Y-146165989D01* +X82850500Y-146089562D01* +X82850500Y-145535139D01* +X82869407Y-145476948D01* +X82918907Y-145440984D01* +X82980093Y-145440984D01* +X83019501Y-145465133D01* +X83150345Y-145595977D01* +X83322402Y-145710941D01* +X83513580Y-145790130D01* +X83716535Y-145830500D01* +X83716536Y-145830500D01* +X83923464Y-145830500D01* +X83923465Y-145830500D01* +X84126420Y-145790130D01* +X84317598Y-145710941D01* +X84489655Y-145595977D01* +X84635977Y-145449655D01* +X84750941Y-145277598D01* +X84830130Y-145086420D01* +X84870500Y-144883465D01* +X84870500Y-144676535D01* +X84870499Y-144676532D01* +X85309500Y-144676532D01* +X85309500Y-144883467D01* +X85349869Y-145086418D01* +X85429058Y-145277597D01* +X85544020Y-145449651D01* +X85544023Y-145449655D01* +X85690345Y-145595977D01* +X85862402Y-145710941D01* +X86053580Y-145790130D01* +X86256535Y-145830500D01* +X86256536Y-145830500D01* +X86463464Y-145830500D01* +X86463465Y-145830500D01* +X86666420Y-145790130D01* +X86857598Y-145710941D01* +X87029655Y-145595977D01* +X87175977Y-145449655D01* +X87290941Y-145277598D01* +X87370130Y-145086420D01* +X87410500Y-144883465D01* +X87410500Y-144676535D01* +X87370130Y-144473580D01* +X87290941Y-144282402D01* +X87175977Y-144110345D01* +X87029655Y-143964023D01* +X86887303Y-143868907D01* +X86857597Y-143849058D01* +X86666418Y-143769869D01* +X86463467Y-143729500D01* +X86463465Y-143729500D01* +X86256535Y-143729500D01* +X86256532Y-143729500D01* +X86053581Y-143769869D01* +X85862402Y-143849058D01* +X85690348Y-143964020D01* +X85544020Y-144110348D01* +X85429058Y-144282402D01* +X85349869Y-144473581D01* +X85309500Y-144676532D01* +X84870499Y-144676532D01* +X84830130Y-144473580D01* +X84750941Y-144282402D01* +X84635977Y-144110345D01* +X84489655Y-143964023D01* +X84317598Y-143849059D01* +X84273993Y-143830997D01* +X84181613Y-143792731D01* +X84135088Y-143752994D01* +X84120500Y-143701267D01* +X84120500Y-136745479D01* +X84122968Y-136737881D01* +X84121719Y-136729992D01* +X84132243Y-136709336D01* +X84139407Y-136687288D01* +X84149496Y-136675475D01* +X84210496Y-136614475D01* +X84265013Y-136586698D01* +X84325445Y-136596269D01* +X84368710Y-136639534D01* +X84379500Y-136684479D01* +X84379500Y-138859564D01* +X84399978Y-138935988D01* +X84399980Y-138935992D01* +X84439538Y-139004508D01* +X84439540Y-139004511D01* +X86755529Y-141320500D01* +X88995525Y-143560496D01* +X89023302Y-143615013D01* +X89013731Y-143675445D01* +X88970466Y-143718710D01* +X88925521Y-143729500D01* +X88796532Y-143729500D01* +X88593581Y-143769869D01* +X88402402Y-143849058D01* +X88230348Y-143964020D01* +X88084020Y-144110348D01* +X87969058Y-144282402D01* +X87889869Y-144473581D01* +X87849500Y-144676532D01* +X87849500Y-144883467D01* +X87889869Y-145086418D01* +X87969058Y-145277597D01* +X88084020Y-145449651D01* +X88084023Y-145449655D01* +X88230345Y-145595977D01* +X88402402Y-145710941D01* +X88593580Y-145790130D01* +X88796535Y-145830500D01* +X88796536Y-145830500D01* +X89003464Y-145830500D01* +X89003465Y-145830500D01* +X89206420Y-145790130D01* +X89397598Y-145710941D01* +X89569655Y-145595977D01* +X89715977Y-145449655D01* +X89830941Y-145277598D01* +X89910130Y-145086420D01* +X89950500Y-144883465D01* +X89950500Y-144676535D01* +X89910130Y-144473580D01* +X89830941Y-144282402D01* +X89715977Y-144110345D01* +X89575133Y-143969501D01* +X89547358Y-143914987D01* +X89556929Y-143854555D01* +X89600194Y-143811290D01* +X89645139Y-143800500D01* +X90694861Y-143800500D01* +X90753052Y-143819407D01* +X90789016Y-143868907D01* +X90789016Y-143930093D01* +X90764866Y-143969501D01* +X90664562Y-144069806D01* +X90624020Y-144110348D01* +X90509058Y-144282402D01* +X90429869Y-144473581D01* +X90389500Y-144676532D01* +X90389500Y-144883467D01* +X90429869Y-145086418D01* +X90509058Y-145277597D01* +X90624020Y-145449651D01* +X90624023Y-145449655D01* +X90770345Y-145595977D01* +X90942402Y-145710941D01* +X91133580Y-145790130D01* +X91336535Y-145830500D01* +X91336536Y-145830500D01* +X91543464Y-145830500D01* +X91543465Y-145830500D01* +X91746420Y-145790130D01* +X91937598Y-145710941D01* +X92109655Y-145595977D01* +X92255977Y-145449655D01* +X92370941Y-145277598D01* +X92450130Y-145086420D01* +X92490500Y-144883465D01* +X92490500Y-144676535D01* +X92450130Y-144473580D01* +X92370941Y-144282402D01* +X92255977Y-144110345D01* +X92115133Y-143969501D01* +X92087358Y-143914987D01* +X92096929Y-143854555D01* +X92140194Y-143811290D01* +X92185139Y-143800500D01* +X92534521Y-143800500D01* +X92592712Y-143819407D01* +X92604525Y-143829496D01* +X93004736Y-144229707D01* +X93032513Y-144284224D01* +X93026196Y-144337596D01* +X92969870Y-144473578D01* +X92969870Y-144473580D01* +X92929500Y-144676532D01* +X92929500Y-144883467D01* +X92969869Y-145086418D01* +X93049058Y-145277597D01* +X93164020Y-145449651D01* +X93164023Y-145449655D01* +X93310345Y-145595977D01* +X93482402Y-145710941D01* +X93673580Y-145790130D01* +X93876535Y-145830500D01* +X93876536Y-145830500D01* +X94083464Y-145830500D01* +X94083465Y-145830500D01* +X94286420Y-145790130D01* +X94477598Y-145710941D01* +X94649655Y-145595977D01* +X94795977Y-145449655D01* +X94910941Y-145277598D01* +X94990130Y-145086420D01* +X95030500Y-144883465D01* +X95030500Y-144676535D01* +X95030499Y-144676532D01* +X95469500Y-144676532D01* +X95469500Y-144883467D01* +X95509869Y-145086418D01* +X95589058Y-145277597D01* +X95704020Y-145449651D01* +X95704023Y-145449655D01* +X95850345Y-145595977D01* +X96022402Y-145710941D01* +X96213580Y-145790130D01* +X96416535Y-145830500D01* +X96416536Y-145830500D01* +X96623464Y-145830500D01* +X96623465Y-145830500D01* +X96826420Y-145790130D01* +X97017598Y-145710941D01* +X97189655Y-145595977D01* +X97335977Y-145449655D01* +X97450941Y-145277598D01* +X97530130Y-145086420D01* +X97570500Y-144883465D01* +X97570500Y-144676535D01* +X97530130Y-144473580D01* +X97450941Y-144282402D01* +X97335977Y-144110345D01* +X97189655Y-143964023D01* +X97047303Y-143868907D01* +X97017597Y-143849058D01* +X96826418Y-143769869D01* +X96623467Y-143729500D01* +X96623465Y-143729500D01* +X96416535Y-143729500D01* +X96416532Y-143729500D01* +X96213581Y-143769869D01* +X96022402Y-143849058D01* +X95850348Y-143964020D01* +X95704020Y-144110348D01* +X95589058Y-144282402D01* +X95509869Y-144473581D01* +X95469500Y-144676532D01* +X95030499Y-144676532D01* +X94990130Y-144473580D01* +X94910941Y-144282402D01* +X94795977Y-144110345D01* +X94649655Y-143964023D01* +X94507303Y-143868907D01* +X94477597Y-143849058D01* +X94286418Y-143769869D01* +X94083467Y-143729500D01* +X94083465Y-143729500D01* +X93876535Y-143729500D01* +X93876532Y-143729500D01* +X93673580Y-143769870D01* +X93673578Y-143769870D01* +X93537596Y-143826196D01* +X93476600Y-143830997D01* +X93429707Y-143804736D01* +X93185467Y-143560496D01* +X92884511Y-143259540D01* +X92884508Y-143259538D01* +X92815992Y-143219980D01* +X92815988Y-143219978D01* +X92739564Y-143199500D01* +X92739562Y-143199500D01* +X89525479Y-143199500D01* +X89467288Y-143180593D01* +X89455475Y-143170504D01* +X85009496Y-138724525D01* +X84981719Y-138670008D01* +X84980500Y-138654521D01* +X84980500Y-136535535D01* +X84999407Y-136477344D01* +X85009490Y-136465537D01* +X85320460Y-136154568D01* +X85357717Y-136090036D01* +X85360021Y-136086046D01* +X85380500Y-136009619D01* +X85380500Y-135939745D01* +X85381348Y-135926817D01* +X85381500Y-135925663D01* +X85381500Y-134814108D01* +X87109500Y-134814108D01* +X87109500Y-134945892D01* +X87120218Y-134985892D01* +X87143609Y-135073190D01* +X87209496Y-135187309D01* +X87209498Y-135187311D01* +X87209500Y-135187314D01* +X87280505Y-135258319D01* +X87308281Y-135312834D01* +X87309500Y-135328321D01* +X87309500Y-135489564D01* +X87329978Y-135565988D01* +X87329979Y-135565989D01* +X87353125Y-135606079D01* +X87363774Y-135624525D01* +X87369540Y-135634511D01* +X89695489Y-137960460D01* +X89695491Y-137960461D01* +X89695493Y-137960463D01* +X89764008Y-138000020D01* +X89764006Y-138000020D01* +X89764010Y-138000021D01* +X89764012Y-138000022D01* +X89840438Y-138020500D01* +X91834521Y-138020500D01* +X91892712Y-138039407D01* +X91904525Y-138049496D01* +X98084736Y-144229707D01* +X98112513Y-144284224D01* +X98106196Y-144337596D01* +X98049870Y-144473578D01* +X98049870Y-144473580D01* +X98009500Y-144676532D01* +X98009500Y-144883467D01* +X98049869Y-145086418D01* +X98129058Y-145277597D01* +X98244020Y-145449651D01* +X98244023Y-145449655D01* +X98390345Y-145595977D01* +X98562402Y-145710941D01* +X98753580Y-145790130D01* +X98956535Y-145830500D01* +X98956536Y-145830500D01* +X99163464Y-145830500D01* +X99163465Y-145830500D01* +X99366420Y-145790130D01* +X99557598Y-145710941D01* +X99729655Y-145595977D01* +X99875977Y-145449655D01* +X99990941Y-145277598D01* +X100070130Y-145086420D01* +X100110500Y-144883465D01* +X100110500Y-144676535D01* +X100070130Y-144473580D01* +X99990941Y-144282402D01* +X99875977Y-144110345D01* +X99729655Y-143964023D01* +X99587303Y-143868907D01* +X99557597Y-143849058D01* +X99366418Y-143769869D01* +X99163467Y-143729500D01* +X99163465Y-143729500D01* +X98956535Y-143729500D01* +X98956532Y-143729500D01* +X98753580Y-143769870D01* +X98753578Y-143769870D01* +X98617596Y-143826196D01* +X98556600Y-143830997D01* +X98509707Y-143804736D01* +X95431780Y-140726809D01* +X92184511Y-137479540D01* +X92184508Y-137479538D01* +X92115992Y-137439980D01* +X92115988Y-137439978D01* +X92039564Y-137419500D01* +X92039562Y-137419500D01* +X90045479Y-137419500D01* +X89987288Y-137400593D01* +X89975475Y-137390504D01* +X87961396Y-135376425D01* +X87933619Y-135321908D01* +X87943190Y-135261476D01* +X87961393Y-135236420D01* +X88010500Y-135187314D01* +X88076392Y-135073186D01* +X88110500Y-134945892D01* +X88110500Y-134814108D01* +X88076392Y-134686814D01* +X88076390Y-134686811D01* +X88076390Y-134686809D01* +X88010503Y-134572690D01* +X88010501Y-134572688D01* +X88010500Y-134572686D01* +X87917314Y-134479500D01* +X87917311Y-134479498D01* +X87917309Y-134479496D01* +X87803189Y-134413609D01* +X87803191Y-134413609D01* +X87753799Y-134400375D01* +X87675892Y-134379500D01* +X87544108Y-134379500D01* +X87466200Y-134400375D01* +X87416809Y-134413609D01* +X87302690Y-134479496D01* +X87209496Y-134572690D01* +X87143609Y-134686809D01* +X87136863Y-134711987D01* +X87109500Y-134814108D01* +X85381500Y-134814108D01* +X85381500Y-134481439D01* +X85381500Y-134481438D01* +X85372176Y-134446640D01* +X85361022Y-134405012D01* +X85346293Y-134379500D01* +X85321463Y-134336493D01* +X85321459Y-134336488D01* +X85140496Y-134155524D01* +X85112719Y-134101008D01* +X85111500Y-134085521D01* +X85111500Y-133024400D01* +X85111499Y-133024398D01* +X85091021Y-132947974D01* +X85091019Y-132947970D01* +X85051460Y-132879452D01* +X84995511Y-132823502D01* +X84995511Y-132823503D01* +X84740496Y-132568488D01* +X84738521Y-132564612D01* +X84734828Y-132562318D01* +X84728424Y-132544795D01* +X84712719Y-132513971D01* +X84711760Y-132505648D01* +X84711500Y-132502064D01* +X84711500Y-132391498D01* +X84702932Y-132359523D01* +X84691022Y-132315072D01* +X84651460Y-132246549D01* +X84592220Y-132187309D01* +X84339496Y-131934584D01* +X84311719Y-131880068D01* +X84310500Y-131864581D01* +X84310500Y-131814109D01* +X84310500Y-131814108D01* +X84276392Y-131686814D01* +X84276390Y-131686811D01* +X84276390Y-131686809D01* +X84210503Y-131572690D01* +X84210501Y-131572688D01* +X84210500Y-131572686D01* +X84117314Y-131479500D01* +X84117311Y-131479498D01* +X84117309Y-131479496D01* +X84003189Y-131413609D01* +X84003191Y-131413609D01* +X83953799Y-131400375D01* +X83875892Y-131379500D01* +X83744108Y-131379500D01* +X83666200Y-131400375D01* +X83616809Y-131413609D01* +X83502690Y-131479496D01* +X83409496Y-131572690D01* +X83343609Y-131686809D01* +X83343608Y-131686814D01* +X83309500Y-131814108D01* +X83309500Y-131945892D01* +X83339579Y-132058151D01* +X83343609Y-132073190D01* +X83409496Y-132187309D01* +X83409498Y-132187311D01* +X83409500Y-132187314D01* +X83432185Y-132209999D01* +X83459961Y-132264514D01* +X83450390Y-132324946D01* +X83432185Y-132350003D01* +X83409499Y-132372689D01* +X83343609Y-132486812D01* +X83343608Y-132486817D01* +X83309500Y-132614111D01* +X83309500Y-132745895D01* +X83330295Y-132823502D01* +X83343609Y-132873193D01* +X83409496Y-132987312D01* +X83409498Y-132987314D01* +X83409500Y-132987317D01* +X83432185Y-133010002D01* +X83459961Y-133064517D01* +X83450390Y-133124949D01* +X83432185Y-133150006D01* +X83409499Y-133172692D01* +X83343609Y-133286815D01* +X83309500Y-133414115D01* +X83309500Y-133514526D01* +X83290593Y-133572717D01* +X83280504Y-133584530D01* +X82365489Y-134499546D01* +X82365488Y-134499545D01* +X82309539Y-134555495D01* +X82269980Y-134624013D01* +X82269978Y-134624017D01* +X82249500Y-134700441D01* +X82249500Y-144024861D01* +X82230593Y-144083052D01* +X82181093Y-144119016D01* +X82119907Y-144119016D01* +X82080498Y-144094866D01* +X81949655Y-143964023D01* +X81807303Y-143868907D01* +X81777597Y-143849058D01* +X81586418Y-143769869D01* +X81383467Y-143729500D01* +X81383465Y-143729500D01* +X81176535Y-143729500D01* +X81176532Y-143729500D01* +X80973581Y-143769869D01* +X80782402Y-143849058D01* +X80610348Y-143964020D01* +X80464020Y-144110348D01* +X80349058Y-144282402D01* +X80269869Y-144473581D01* +X80229500Y-144676532D01* +X76700500Y-144676532D01* +X76700500Y-128839108D01* +X84970500Y-128839108D01* +X84970500Y-128970892D01* +X85000579Y-129083151D01* +X85004609Y-129098190D01* +X85070496Y-129212309D01* +X85070498Y-129212311D01* +X85070500Y-129212314D01* +X85150505Y-129292319D01* +X85178281Y-129346834D01* +X85179500Y-129362321D01* +X85179500Y-130959564D01* +X85199978Y-131035986D01* +X85199979Y-131035989D01* +X85208356Y-131050498D01* +X85208356Y-131050500D01* +X85235361Y-131097273D01* +X85239540Y-131104511D01* +X88950505Y-134815476D01* +X88978281Y-134869991D01* +X88979500Y-134885478D01* +X88979500Y-134985892D01* +X89002890Y-135073186D01* +X89013609Y-135113190D01* +X89079496Y-135227309D01* +X89079498Y-135227311D01* +X89079500Y-135227314D01* +X89172686Y-135320500D01* +X89172688Y-135320501D01* +X89172690Y-135320503D01* +X89286810Y-135386390D01* +X89286808Y-135386390D01* +X89286812Y-135386391D01* +X89286814Y-135386392D01* +X89414108Y-135420500D01* +X89414110Y-135420500D01* +X89545890Y-135420500D01* +X89545892Y-135420500D01* +X89673186Y-135386392D01* +X89673188Y-135386390D01* +X89673190Y-135386390D01* +X89787309Y-135320503D01* +X89787309Y-135320502D01* +X89787314Y-135320500D01* +X89880500Y-135227314D01* +X89903594Y-135187314D01* +X89946390Y-135113190D01* +X89946390Y-135113188D01* +X89946392Y-135113186D01* +X89980500Y-134985892D01* +X89980500Y-134854108D01* +X89946392Y-134726814D01* +X89946390Y-134726811D01* +X89946390Y-134726809D01* +X89880503Y-134612690D01* +X89880501Y-134612688D01* +X89880500Y-134612686D01* +X89787314Y-134519500D01* +X89787311Y-134519498D01* +X89787309Y-134519496D01* +X89673189Y-134453609D01* +X89673191Y-134453609D01* +X89617850Y-134438781D01* +X89545892Y-134419500D01* +X89445479Y-134419500D01* +X89387288Y-134400593D01* +X89375475Y-134390504D01* +X85809496Y-130824525D01* +X85781719Y-130770008D01* +X85780500Y-130754521D01* +X85780500Y-129344321D01* +X85799407Y-129286130D01* +X85809490Y-129274323D01* +X85871500Y-129212314D01* +X85937392Y-129098186D01* +X85971500Y-128970892D01* +X85971500Y-128839108D01* +X85937392Y-128711814D01* +X85937390Y-128711811D01* +X85937390Y-128711809D01* +X85871503Y-128597690D01* +X85871501Y-128597688D01* +X85871500Y-128597686D01* +X85778314Y-128504500D01* +X85778311Y-128504498D01* +X85778309Y-128504496D01* +X85664189Y-128438609D01* +X85664191Y-128438609D01* +X85614799Y-128425375D01* +X85536892Y-128404500D01* +X85405108Y-128404500D01* +X85327200Y-128425375D01* +X85277809Y-128438609D01* +X85163690Y-128504496D01* +X85070496Y-128597690D01* +X85004609Y-128711809D01* +X85004608Y-128711814D01* +X84970500Y-128839108D01* +X76700500Y-128839108D01* +X76700500Y-127864108D01* +X87709500Y-127864108D01* +X87709500Y-127995892D01* +X87739579Y-128108151D01* +X87743609Y-128123190D01* +X87809496Y-128237309D01* +X87809498Y-128237311D01* +X87809500Y-128237314D01* +X87880505Y-128308319D01* +X87908281Y-128362834D01* +X87909500Y-128378321D01* +X87909500Y-130789564D01* +X87929978Y-130865988D01* +X87929980Y-130865992D01* +X87969538Y-130934508D01* +X87969540Y-130934511D01* +X89299543Y-132264514D01* +X90623948Y-133588919D01* +X90651725Y-133643436D01* +X90642154Y-133703868D01* +X90598889Y-133747133D01* +X90579567Y-133754550D01* +X90487561Y-133779203D01* +X90487559Y-133779203D01* +X90487555Y-133779205D01* +X90373437Y-133845091D01* +X90280243Y-133938285D01* +X90214356Y-134052404D01* +X90214355Y-134052409D01* +X90180247Y-134179703D01* +X90180247Y-134311487D01* +X90189701Y-134346770D01* +X90214356Y-134438785D01* +X90280243Y-134552904D01* +X90280245Y-134552906D01* +X90280247Y-134552909D01* +X90373433Y-134646095D01* +X90373435Y-134646096D01* +X90373437Y-134646098D01* +X90487557Y-134711985D01* +X90487555Y-134711985D01* +X90487559Y-134711986D01* +X90487561Y-134711987D01* +X90611378Y-134745163D01* +X90655757Y-134770785D01* +X94131560Y-138246588D01* +X94159337Y-138301105D01* +X94150400Y-138357530D01* +X94151092Y-138357817D01* +X94149898Y-138360697D01* +X94149766Y-138361537D01* +X94148860Y-138363203D01* +X94148609Y-138363809D01* +X94148608Y-138363814D01* +X94114500Y-138491108D01* +X94114500Y-138622892D01* +X94122975Y-138654521D01* +X94148609Y-138750190D01* +X94214496Y-138864309D01* +X94214498Y-138864311D01* +X94214500Y-138864314D01* +X94307686Y-138957500D01* +X94307688Y-138957501D01* +X94307690Y-138957503D01* +X94421810Y-139023390D01* +X94421808Y-139023390D01* +X94421812Y-139023391D01* +X94421814Y-139023392D01* +X94549108Y-139057500D01* +X94549110Y-139057500D01* +X94680890Y-139057500D01* +X94680892Y-139057500D01* +X94808186Y-139023392D01* +X94808188Y-139023390D01* +X94808190Y-139023390D01* +X94922309Y-138957503D01* +X94922309Y-138957502D01* +X94922314Y-138957500D01* +X95015500Y-138864314D01* +X95015503Y-138864309D01* +X95081390Y-138750190D01* +X95081390Y-138750188D01* +X95081392Y-138750186D01* +X95115500Y-138622892D01* +X95115500Y-138491108D01* +X95081392Y-138363814D01* +X95081390Y-138363811D01* +X95081390Y-138363809D01* +X95023737Y-138263952D01* +X95011015Y-138204104D01* +X95035901Y-138148208D01* +X95088889Y-138117615D01* +X95149740Y-138124011D01* +X95179477Y-138144448D01* +X97250504Y-140215475D01* +X97254130Y-140222592D01* +X97260593Y-140227288D01* +X97267756Y-140249336D01* +X97278281Y-140269992D01* +X97279500Y-140285479D01* +X97279500Y-140471679D01* +X97260593Y-140529870D01* +X97250509Y-140541676D01* +X97179500Y-140612686D01* +X97179497Y-140612689D01* +X97179496Y-140612690D01* +X97113609Y-140726809D01* +X97113608Y-140726814D01* +X97079500Y-140854108D01* +X97079500Y-140985892D01* +X97109579Y-141098151D01* +X97113609Y-141113190D01* +X97179496Y-141227309D01* +X97179498Y-141227311D01* +X97179500Y-141227314D01* +X97272686Y-141320500D01* +X97272688Y-141320501D01* +X97272690Y-141320503D01* +X97386810Y-141386390D01* +X97386808Y-141386390D01* +X97386812Y-141386391D01* +X97386814Y-141386392D01* +X97514108Y-141420500D01* +X97514110Y-141420500D01* +X97645890Y-141420500D01* +X97645892Y-141420500D01* +X97773186Y-141386392D01* +X97773188Y-141386390D01* +X97773190Y-141386390D01* +X97887309Y-141320503D01* +X97887309Y-141320502D01* +X97887314Y-141320500D01* +X97980500Y-141227314D01* +X98046392Y-141113186D01* +X98080500Y-140985892D01* +X98080500Y-140854108D01* +X98046392Y-140726814D01* +X98046390Y-140726811D01* +X98046390Y-140726809D01* +X97980503Y-140612690D01* +X97980501Y-140612688D01* +X97980500Y-140612686D01* +X97909494Y-140541680D01* +X97881719Y-140487166D01* +X97880500Y-140471679D01* +X97880500Y-140080437D01* +X97880499Y-140080435D01* +X97860021Y-140004011D01* +X97860019Y-140004007D01* +X97820460Y-139935489D01* +X97764511Y-139879539D01* +X97764511Y-139879540D01* +X88539496Y-130654525D01* +X88511719Y-130600008D01* +X88510500Y-130584521D01* +X88510500Y-128378321D01* +X88529407Y-128320130D01* +X88539490Y-128308323D01* +X88610500Y-128237314D01* +X88676392Y-128123186D01* +X88710500Y-127995892D01* +X88710500Y-127864108D01* +X88676392Y-127736814D01* +X88676390Y-127736811D01* +X88676390Y-127736809D01* +X88610503Y-127622690D01* +X88610501Y-127622688D01* +X88610500Y-127622686D01* +X88517314Y-127529500D01* +X88517311Y-127529498D01* +X88517309Y-127529496D01* +X88403189Y-127463609D01* +X88403191Y-127463609D01* +X88353799Y-127450375D01* +X88275892Y-127429500D01* +X88144108Y-127429500D01* +X88066200Y-127450375D01* +X88016809Y-127463609D01* +X87902690Y-127529496D01* +X87809496Y-127622690D01* +X87743609Y-127736809D01* +X87743608Y-127736814D01* +X87709500Y-127864108D01* +X76700500Y-127864108D01* +X76700500Y-124051000D01* +X76719407Y-123992809D01* +X76768907Y-123956845D01* +X76799500Y-123952000D01* +X108620500Y-123952000D01* +X108678691Y-123970907D01* +G37* +G04 #@! TD.AperFunction* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-B_Mask.gbr b/daughter-boards/nfc/gerber/nfc-B_Mask.gbr new file mode 100644 index 0000000..261071f --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-B_Mask.gbr @@ -0,0 +1,61 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:37+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Bot* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:37* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10R,1.700000X1.700000*% +%ADD11O,1.700000X1.700000*% +G04 APERTURE END LIST* +D10* +X81280000Y-147320000D03* +D11* +X81280000Y-144780000D03* +X83820000Y-147320000D03* +X83820000Y-144780000D03* +X86360000Y-147320000D03* +X86360000Y-144780000D03* +X88900000Y-147320000D03* +X88900000Y-144780000D03* +X91440000Y-147320000D03* +X91440000Y-144780000D03* +X93980000Y-147320000D03* +X93980000Y-144780000D03* +X96520000Y-147320000D03* +X96520000Y-144780000D03* +X99060000Y-147320000D03* +X99060000Y-144780000D03* +X101600000Y-147320000D03* +X101600000Y-144780000D03* +X104140000Y-147320000D03* +X104140000Y-144780000D03* +D10* +X81280000Y-68580000D03* +D11* +X81280000Y-66040000D03* +X83820000Y-68580000D03* +X83820000Y-66040000D03* +X86360000Y-68580000D03* +X86360000Y-66040000D03* +X88900000Y-68580000D03* +X88900000Y-66040000D03* +X91440000Y-68580000D03* +X91440000Y-66040000D03* +X93980000Y-68580000D03* +X93980000Y-66040000D03* +X96520000Y-68580000D03* +X96520000Y-66040000D03* +X99060000Y-68580000D03* +X99060000Y-66040000D03* +X101600000Y-68580000D03* +X101600000Y-66040000D03* +X104140000Y-68580000D03* +X104140000Y-66040000D03* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-B_Paste.gbr b/daughter-boards/nfc/gerber/nfc-B_Paste.gbr new file mode 100644 index 0000000..7054142 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-B_Paste.gbr @@ -0,0 +1,15 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Paste,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 APERTURE END LIST* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-B_Silkscreen.gbr b/daughter-boards/nfc/gerber/nfc-B_Silkscreen.gbr new file mode 100644 index 0000000..ac16b19 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-B_Silkscreen.gbr @@ -0,0 +1,101 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10C,0.150000*% +%ADD11C,0.120000*% +G04 APERTURE END LIST* +D10* +X78099164Y-145800000D02* +X78634878Y-145800000D01* +X78634878Y-145800000D02* +X78742021Y-145764285D01* +X78742021Y-145764285D02* +X78813450Y-145692857D01* +X78813450Y-145692857D02* +X78849164Y-145585714D01* +X78849164Y-145585714D02* +X78849164Y-145514285D01* +X78849164Y-146550000D02* +X78849164Y-146121429D01* +X78849164Y-146335714D02* +X78099164Y-146335714D01* +X78099164Y-146335714D02* +X78206307Y-146264286D01* +X78206307Y-146264286D02* +X78277735Y-146192857D01* +X78277735Y-146192857D02* +X78313450Y-146121429D01* +X78099164Y-67060000D02* +X78634878Y-67060000D01* +X78634878Y-67060000D02* +X78742021Y-67024285D01* +X78742021Y-67024285D02* +X78813450Y-66952857D01* +X78813450Y-66952857D02* +X78849164Y-66845714D01* +X78849164Y-66845714D02* +X78849164Y-66774285D01* +X78170592Y-67381429D02* +X78134878Y-67417143D01* +X78134878Y-67417143D02* +X78099164Y-67488572D01* +X78099164Y-67488572D02* +X78099164Y-67667143D01* +X78099164Y-67667143D02* +X78134878Y-67738572D01* +X78134878Y-67738572D02* +X78170592Y-67774286D01* +X78170592Y-67774286D02* +X78242021Y-67810000D01* +X78242021Y-67810000D02* +X78313450Y-67810000D01* +X78313450Y-67810000D02* +X78420592Y-67774286D01* +X78420592Y-67774286D02* +X78849164Y-67345714D01* +X78849164Y-67345714D02* +X78849164Y-67810000D01* +D11* +X79950000Y-143450000D02* +X79950000Y-146050000D01* +X79950000Y-143450000D02* +X105470000Y-143450000D01* +X79950000Y-146050000D02* +X82550000Y-146050000D01* +X79950000Y-147320000D02* +X79950000Y-148650000D01* +X79950000Y-148650000D02* +X81280000Y-148650000D01* +X82550000Y-146050000D02* +X82550000Y-148650000D01* +X82550000Y-148650000D02* +X105470000Y-148650000D01* +X105470000Y-143450000D02* +X105470000Y-148650000D01* +X79950000Y-64710000D02* +X79950000Y-67310000D01* +X79950000Y-64710000D02* +X105470000Y-64710000D01* +X79950000Y-67310000D02* +X82550000Y-67310000D01* +X79950000Y-68580000D02* +X79950000Y-69910000D01* +X79950000Y-69910000D02* +X81280000Y-69910000D01* +X82550000Y-67310000D02* +X82550000Y-69910000D01* +X82550000Y-69910000D02* +X105470000Y-69910000D01* +X105470000Y-64710000D02* +X105470000Y-69910000D01* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-Edge_Cuts.gbr b/daughter-boards/nfc/gerber/nfc-Edge_Cuts.gbr new file mode 100644 index 0000000..6e138f2 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-Edge_Cuts.gbr @@ -0,0 +1,34 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:37+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Profile,NP* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:37* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 #@! TA.AperFunction,Profile* +%ADD10C,0.100000*% +G04 #@! TD* +G04 APERTURE END LIST* +D10* +X109220000Y-63500000D02* +X109220000Y-149860000D01* +X106680000Y-60960000D02* +X109220000Y-63500000D01* +X76200000Y-149860000D02* +X76200000Y-63500000D01* +X78740000Y-60960000D02* +X106680000Y-60960000D01* +X106680000Y-152400000D02* +X78740000Y-152400000D01* +X78740000Y-152400000D02* +X76200000Y-149860000D01* +X109220000Y-149860000D02* +X106680000Y-152400000D01* +X76200000Y-63500000D02* +X78740000Y-60960000D01* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-F_Cu.gbr b/daughter-boards/nfc/gerber/nfc-F_Cu.gbr new file mode 100644 index 0000000..e38d753 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-F_Cu.gbr @@ -0,0 +1,4174 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L1,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +G04 #@! TA.AperFunction,EtchedComponent* +%ADD10C,0.200000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD11RoundRect,0.225000X0.250000X-0.225000X0.250000X0.225000X-0.250000X0.225000X-0.250000X-0.225000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD12RoundRect,0.225000X-0.225000X-0.250000X0.225000X-0.250000X0.225000X0.250000X-0.225000X0.250000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD13RoundRect,0.200000X-0.200000X-0.275000X0.200000X-0.275000X0.200000X0.275000X-0.200000X0.275000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD14RoundRect,0.225000X0.225000X0.250000X-0.225000X0.250000X-0.225000X-0.250000X0.225000X-0.250000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD15C,1.000000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD16RoundRect,0.062500X0.375000X0.062500X-0.375000X0.062500X-0.375000X-0.062500X0.375000X-0.062500X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD17RoundRect,0.062500X0.062500X0.375000X-0.062500X0.375000X-0.062500X-0.375000X0.062500X-0.375000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,HeatsinkPad* +%ADD18R,3.450000X3.450000*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD19RoundRect,0.030000X0.070000X-0.070000X0.070000X0.070000X-0.070000X0.070000X-0.070000X-0.070000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD20RoundRect,0.200000X0.200000X0.275000X-0.200000X0.275000X-0.200000X-0.275000X0.200000X-0.275000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD21RoundRect,0.100000X0.400000X-0.400000X0.400000X0.400000X-0.400000X0.400000X-0.400000X-0.400000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD22RoundRect,0.105000X0.995000X-0.420000X0.995000X0.420000X-0.995000X0.420000X-0.995000X-0.420000X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD23RoundRect,0.218750X0.256250X-0.218750X0.256250X0.218750X-0.256250X0.218750X-0.256250X-0.218750X0*% +G04 #@! TD* +G04 #@! TA.AperFunction,SMDPad,CuDef* +%ADD24R,1.400000X1.200000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ComponentPad* +%ADD25R,1.700000X1.700000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ComponentPad* +%ADD26O,1.700000X1.700000*% +G04 #@! TD* +G04 #@! TA.AperFunction,ViaPad* +%ADD27C,0.600000*% +G04 #@! TD* +G04 #@! TA.AperFunction,Conductor* +%ADD28C,0.200000*% +G04 #@! TD* +G04 APERTURE END LIST* +D10* +X77810000Y-91780000D02* +X107610000Y-91780000D01* +X77810000Y-121580000D02* +X77810000Y-91780000D01* +X78410000Y-92380000D02* +X107010000Y-92380000D01* +X78410000Y-120980000D02* +X78410000Y-92380000D01* +X79010000Y-92980000D02* +X106410000Y-92980000D01* +X79010000Y-120380000D02* +X79010000Y-92980000D01* +X79610000Y-93580000D02* +X105810000Y-93580000D01* +X79610000Y-119780000D02* +X79610000Y-93580000D01* +X100710000Y-121580000D02* +X77810000Y-121580000D01* +X105810000Y-93580000D02* +X105810000Y-119180000D01* +X105810000Y-119180000D02* +X100710000Y-119180000D01* +X106410000Y-92980000D02* +X106410000Y-119780000D01* +X106410000Y-119780000D02* +X79610000Y-119780000D01* +X107010000Y-92380000D02* +X107010000Y-120380000D01* +X107010000Y-120380000D02* +X79010000Y-120380000D01* +X107610000Y-91780000D02* +X107610000Y-120980000D01* +X107610000Y-120980000D02* +X78410000Y-120980000D01* +D11* +X102210000Y-127455000D03* +X102210000Y-125905000D03* +X100710000Y-127455000D03* +X100710000Y-125905000D03* +D12* +X91685000Y-134930000D03* +X93235000Y-134930000D03* +D13* +X100635000Y-128930000D03* +X102285000Y-128930000D03* +D14* +X99235000Y-127430000D03* +X97685000Y-127430000D03* +X99235000Y-133430000D03* +X97685000Y-133430000D03* +D11* +X102210000Y-131955000D03* +X102210000Y-130405000D03* +D15* +X86960000Y-135680000D03* +D16* +X90147500Y-133180000D03* +X90147500Y-132680000D03* +X90147500Y-132180000D03* +X90147500Y-131680000D03* +X90147500Y-131180000D03* +X90147500Y-130680000D03* +X90147500Y-130180000D03* +X90147500Y-129680000D03* +D17* +X89460000Y-128992500D03* +X88960000Y-128992500D03* +X88460000Y-128992500D03* +X87960000Y-128992500D03* +X87460000Y-128992500D03* +X86960000Y-128992500D03* +X86460000Y-128992500D03* +X85960000Y-128992500D03* +D16* +X85272500Y-129680000D03* +X85272500Y-130180000D03* +X85272500Y-130680000D03* +X85272500Y-131180000D03* +X85272500Y-131680000D03* +X85272500Y-132180000D03* +X85272500Y-132680000D03* +X85272500Y-133180000D03* +D17* +X85960000Y-133867500D03* +X86460000Y-133867500D03* +X86960000Y-133867500D03* +X87460000Y-133867500D03* +X87960000Y-133867500D03* +X88460000Y-133867500D03* +X88960000Y-133867500D03* +X89460000Y-133867500D03* +D18* +X87710000Y-131430000D03* +D11* +X90210000Y-139430000D03* +X90210000Y-137880000D03* +D14* +X99235000Y-131930000D03* +X97685000Y-131930000D03* +X99235000Y-130430000D03* +X97685000Y-130430000D03* +D19* +X100710000Y-121580000D03* +X100710000Y-119180000D03* +D15* +X100710000Y-122680000D03* +D12* +X91685000Y-137930000D03* +X93235000Y-137930000D03* +D11* +X96210000Y-130455000D03* +X96210000Y-128905000D03* +X85460000Y-127455000D03* +X85460000Y-125905000D03* +D20* +X99285000Y-125930000D03* +X97635000Y-125930000D03* +D11* +X83960000Y-127455000D03* +X83960000Y-125905000D03* +D14* +X99235000Y-128930000D03* +X97685000Y-128930000D03* +D21* +X104315000Y-127430000D03* +D22* +X105815000Y-125955000D03* +D21* +X107315000Y-127430000D03* +D22* +X105815000Y-128905000D03* +D12* +X91685000Y-136430000D03* +X93235000Y-136430000D03* +D11* +X96210000Y-133455000D03* +X96210000Y-131905000D03* +D12* +X91685000Y-133430000D03* +X93235000Y-133430000D03* +D23* +X100710000Y-134967500D03* +X100710000Y-133392500D03* +D11* +X91210000Y-127455000D03* +X91210000Y-125905000D03* +D12* +X91685000Y-139430000D03* +X93235000Y-139430000D03* +D15* +X87960000Y-126680000D03* +D12* +X100685000Y-124430000D03* +X102235000Y-124430000D03* +X97685000Y-134930000D03* +X99235000Y-134930000D03* +D14* +X99235000Y-124430000D03* +X97685000Y-124430000D03* +D11* +X89710000Y-127455000D03* +X89710000Y-125905000D03* +X84210000Y-139455000D03* +X84210000Y-137905000D03* +D12* +X91685000Y-131930000D03* +X93235000Y-131930000D03* +X97685000Y-136430000D03* +X99235000Y-136430000D03* +D24* +X86110000Y-139530000D03* +X88310000Y-139530000D03* +X88310000Y-137830000D03* +X86110000Y-137830000D03* +D23* +X100710000Y-131967500D03* +X100710000Y-130392500D03* +D25* +X81280000Y-147320000D03* +D26* +X81280000Y-144780000D03* +X83820000Y-147320000D03* +X83820000Y-144780000D03* +X86360000Y-147320000D03* +X86360000Y-144780000D03* +X88900000Y-147320000D03* +X88900000Y-144780000D03* +X91440000Y-147320000D03* +X91440000Y-144780000D03* +X93980000Y-147320000D03* +X93980000Y-144780000D03* +X96520000Y-147320000D03* +X96520000Y-144780000D03* +X99060000Y-147320000D03* +X99060000Y-144780000D03* +X101600000Y-147320000D03* +X101600000Y-144780000D03* +X104140000Y-147320000D03* +X104140000Y-144780000D03* +D25* +X81280000Y-68580000D03* +D26* +X81280000Y-66040000D03* +X83820000Y-68580000D03* +X83820000Y-66040000D03* +X86360000Y-68580000D03* +X86360000Y-66040000D03* +X88900000Y-68580000D03* +X88900000Y-66040000D03* +X91440000Y-68580000D03* +X91440000Y-66040000D03* +X93980000Y-68580000D03* +X93980000Y-66040000D03* +X96520000Y-68580000D03* +X96520000Y-66040000D03* +X99060000Y-68580000D03* +X99060000Y-66040000D03* +X101600000Y-68580000D03* +X101600000Y-66040000D03* +X104140000Y-68580000D03* +X104140000Y-66040000D03* +D27* +X90680747Y-134245595D03* +X94615000Y-138557000D03* +X97790000Y-151384000D03* +X108204000Y-130556000D03* +X104140000Y-124460000D03* +X101680000Y-140920000D03* +X77216000Y-146812000D03* +X87630000Y-151384000D03* +X85598000Y-151384000D03* +X95310000Y-131672735D03* +X84010000Y-128920000D03* +X93880000Y-130520000D03* +X85460000Y-133930000D03* +X99822000Y-151384000D03* +X89662000Y-151384000D03* +X108204000Y-142748000D03* +X100711000Y-118520000D03* +X85380000Y-136520000D03* +X108204000Y-124460000D03* +X106172000Y-124460000D03* +X81280000Y-124460000D03* +X108204000Y-134620000D03* +X98880000Y-140920000D03* +X77216000Y-140716000D03* +X86380000Y-132720000D03* +X83312000Y-124460000D03* +X83566000Y-151384000D03* +X77216000Y-134620000D03* +X90680000Y-140920000D03* +X77216000Y-128524000D03* +X81534000Y-151384000D03* +X108204000Y-144780000D03* +X84580000Y-140620000D03* +X77216000Y-142748000D03* +X86380000Y-130120000D03* +X85344000Y-124460000D03* +X77216000Y-124460000D03* +X91694000Y-151384000D03* +X89408000Y-124460000D03* +X108204000Y-136652000D03* +X88980000Y-132720000D03* +X93880000Y-140920000D03* +X96480000Y-140920000D03* +X77216000Y-144780000D03* +X108204000Y-128524000D03* +X87376000Y-124460000D03* +X79502000Y-151384000D03* +X87480000Y-130120000D03* +X95758000Y-151384000D03* +X84080000Y-135320000D03* +X77216000Y-126492000D03* +X93472000Y-124460000D03* +X77216000Y-138684000D03* +X108204000Y-148844000D03* +X108204000Y-140716000D03* +X101854000Y-151384000D03* +X95504000Y-124460000D03* +X105918000Y-151384000D03* +X77216000Y-132588000D03* +X86359000Y-126804000D03* +X89580000Y-136520000D03* +X91440000Y-124460000D03* +X108204000Y-132588000D03* +X108204000Y-146812000D03* +X108204000Y-138684000D03* +X79248000Y-124460000D03* +X89030000Y-130070000D03* +X108204000Y-126492000D03* +X77216000Y-130556000D03* +X77216000Y-136652000D03* +X93726000Y-151384000D03* +X77216000Y-148844000D03* +X89480000Y-134920000D03* +X85471000Y-128905000D03* +X87610000Y-134880000D03* +X83810000Y-131880000D03* +X97580000Y-140920000D03* +X88210000Y-127930000D03* +X83810000Y-133480006D03* +X83810000Y-132680003D03* +D28* +X90867000Y-134112000D02* +X91685000Y-134930000D01* +X90814342Y-134112000D02* +X90867000Y-134112000D01* +X90680747Y-134245595D02* +X90814342Y-134112000D01* +X104140000Y-144780000D02* +X97917000Y-138557000D01* +X90886000Y-134131000D02* +X91685000Y-134930000D01* +X90147500Y-132680000D02* +X90600798Y-132680000D01* +X90886000Y-132965202D02* +X90886000Y-134131000D01* +X90600798Y-132680000D02* +X90886000Y-132965202D01* +X97917000Y-138557000D02* +X94615000Y-138557000D01* +X91685000Y-134930000D02* +X91685000Y-136430000D01* +X88960000Y-128992500D02* +X88960000Y-130180000D01* +X85522500Y-133867500D02* +X85460000Y-133930000D01* +X86359000Y-128178943D02* +X86359000Y-126804000D01* +X86460000Y-128279943D02* +X86359000Y-128178943D01* +X100710000Y-118619000D02* +X100711000Y-118618000D01* +X95542265Y-131905000D02* +X95310000Y-131672735D01* +X84210000Y-137905000D02* +X84210000Y-137690000D01* +X86460000Y-128992500D02* +X86460000Y-130180000D01* +X86460000Y-130180000D02* +X87710000Y-131430000D01* +X90986000Y-140206000D02* +X92459000Y-140206000D01* +X88460000Y-130680000D02* +X87710000Y-131430000D01* +X87960000Y-133867500D02* +X87960000Y-131680000D01* +X92459000Y-140206000D02* +X93235000Y-139430000D01* +X100710000Y-119180000D02* +X100710000Y-118521000D01* +X96210000Y-131905000D02* +X95542265Y-131905000D01* +X87960000Y-133867500D02* +X87960000Y-133930000D01* +X90210000Y-139430000D02* +X90986000Y-140206000D01* +X100710000Y-118521000D02* +X100711000Y-118520000D01* +X90147500Y-130680000D02* +X88460000Y-130680000D01* +X87960000Y-131680000D02* +X87710000Y-131430000D01* +X88960000Y-130180000D02* +X87710000Y-131430000D01* +X86460000Y-128992500D02* +X86460000Y-128279943D01* +X86359000Y-126804000D02* +X85460000Y-125905000D01* +X85960000Y-133867500D02* +X85522500Y-133867500D01* +X84210000Y-137690000D02* +X85380000Y-136520000D01* +X90147500Y-132180000D02* +X91435000Y-132180000D01* +X91435000Y-132180000D02* +X91685000Y-131930000D01* +X90147500Y-131680000D02* +X91435000Y-131680000D01* +X91435000Y-131680000D02* +X91685000Y-131930000D01* +X91685000Y-133430000D02* +X91685000Y-131930000D01* +X85960000Y-128992500D02* +X85960000Y-128347043D01* +X83960000Y-127455000D02* +X85460000Y-127455000D01* +X89460000Y-134900000D02* +X89480000Y-134920000D01* +X89460000Y-134799000D02* +X89460000Y-134900000D01* +X85958000Y-127953000D02* +X85460000Y-127455000D01* +X85872500Y-128905000D02* +X85960000Y-128992500D01* +X85958000Y-128012843D02* +X85958000Y-127953000D01* +X85471000Y-128905000D02* +X85872500Y-128905000D01* +X85958000Y-128345043D02* +X85958000Y-128012843D01* +X89460000Y-133867500D02* +X89460000Y-134799000D01* +X85960000Y-128347043D02* +X85958000Y-128345043D01* +X96210000Y-130455000D02* +X94747500Y-128992500D01* +X96235000Y-130430000D02* +X96210000Y-130455000D01* +X94747500Y-128992500D02* +X89460000Y-128992500D01* +X97685000Y-130430000D02* +X96235000Y-130430000D01* +X88460000Y-128992500D02* +X88460000Y-128539202D01* +X88460000Y-128539202D02* +X89544202Y-127455000D01* +X89710000Y-127455000D02* +X91210000Y-127455000D01* +X89544202Y-127455000D02* +X89710000Y-127455000D01* +X94309000Y-132121100D02* +X94309000Y-131788900D01* +X97685000Y-134930000D02* +X96459890Y-134930000D01* +X95434000Y-133904110D02* +X95210000Y-133680110D01* +X94543900Y-132356000D02* +X94309000Y-132121100D01* +X95735890Y-134206000D02* +X95434000Y-133904110D01* +X93960000Y-131154000D02* +X90173500Y-131154000D01* +X90173500Y-131154000D02* +X90147500Y-131180000D01* +X95210000Y-133680110D02* +X95210000Y-133022100D01* +X94309000Y-131503000D02* +X93960000Y-131154000D01* +X95210000Y-133022100D02* +X94543900Y-132356000D01* +X94309000Y-131788900D02* +X94309000Y-131503000D01* +X97685000Y-136430000D02* +X97685000Y-134930000D01* +X96459890Y-134930000D02* +X95735890Y-134206000D01* +X90022500Y-133305000D02* +X90022500Y-134627557D01* +X90147500Y-133180000D02* +X90022500Y-133305000D01* +X91235890Y-137206000D02* +X91685000Y-137206000D01* +X91685000Y-137930000D02* +X91685000Y-139430000D01* +X90880000Y-135485057D02* +X90880000Y-136850110D01* +X91685000Y-137206000D02* +X91685000Y-137930000D01* +X90880000Y-136850110D02* +X91235890Y-137206000D01* +X90022500Y-134627557D02* +X90880000Y-135485057D01* +X94710000Y-130430000D02* +X93960000Y-129680000D01* +X94710000Y-131955000D02* +X94710000Y-130430000D01* +X96235000Y-133430000D02* +X96210000Y-133455000D01* +X93960000Y-129680000D02* +X90147500Y-129680000D01* +X96210000Y-133455000D02* +X94710000Y-131955000D01* +X97685000Y-133430000D02* +X96235000Y-133430000D01* +X100710000Y-133392500D02* +X100710000Y-131967500D01* +X102210000Y-131955000D02* +X100722500Y-131955000D01* +X100722500Y-131955000D02* +X100710000Y-131967500D01* +X99235000Y-131930000D02* +X100672500Y-131930000D01* +X100672500Y-133430000D02* +X100710000Y-133392500D01* +X99235000Y-133430000D02* +X100672500Y-133430000D01* +X100672500Y-131930000D02* +X100710000Y-131967500D01* +X100635000Y-128930000D02* +X99235000Y-128930000D01* +X100710000Y-129005000D02* +X100635000Y-128930000D01* +X99235000Y-128930000D02* +X99235000Y-130430000D01* +X100722500Y-130405000D02* +X100710000Y-130392500D01* +X100710000Y-130392500D02* +X100710000Y-129005000D01* +X102210000Y-130405000D02* +X100722500Y-130405000D01* +X99235000Y-128930000D02* +X99235000Y-127430000D01* +X99235000Y-134930000D02* +X99235000Y-136430000D01* +X99272500Y-134967500D02* +X99235000Y-134930000D01* +X100710000Y-134967500D02* +X99272500Y-134967500D01* +X102210000Y-127455000D02* +X104290000Y-127455000D01* +X102285000Y-128930000D02* +X102285000Y-127530000D01* +X100710000Y-127455000D02* +X102210000Y-127455000D01* +X102285000Y-127530000D02* +X102210000Y-127455000D01* +X104290000Y-127455000D02* +X104315000Y-127430000D01* +X102235000Y-127430000D02* +X102210000Y-127455000D01* +X100710000Y-122680000D02* +X100710000Y-124405000D01* +X100710000Y-124405000D02* +X100685000Y-124430000D01* +X99285000Y-125930000D02* +X100685000Y-125930000D01* +X99235000Y-124430000D02* +X100685000Y-124430000D01* +X100710000Y-121580000D02* +X100710000Y-122680000D01* +X100685000Y-124430000D02* +X100685000Y-125880000D01* +X100685000Y-125880000D02* +X100710000Y-125905000D01* +X102210000Y-125905000D02* +X100710000Y-125905000D01* +X100685000Y-125930000D02* +X100710000Y-125905000D01* +X87210000Y-138632000D02* +X87210000Y-137129000D01* +X87410000Y-136929000D02* +X87610000Y-136929000D01* +X86110000Y-139530000D02* +X86312000Y-139530000D01* +X87210000Y-137129000D02* +X87410000Y-136929000D01* +X88460000Y-136079000D02* +X88460000Y-133867500D01* +X86035000Y-139455000D02* +X86110000Y-139530000D01* +X84210000Y-139455000D02* +X86035000Y-139455000D01* +X87610000Y-136929000D02* +X88460000Y-136079000D01* +X84285000Y-139530000D02* +X84210000Y-139455000D01* +X86312000Y-139530000D02* +X87210000Y-138632000D01* +X88360000Y-137880000D02* +X88310000Y-137830000D01* +X90210000Y-137880000D02* +X88360000Y-137880000D01* +X90160000Y-137830000D02* +X90210000Y-137880000D01* +X88861000Y-137279000D02* +X88861000Y-134619000D01* +X88960000Y-134520000D02* +X88960000Y-133867500D01* +X88310000Y-137830000D02* +X88861000Y-137279000D01* +X88861000Y-134619000D02* +X88960000Y-134520000D01* +X81281000Y-131409000D02* +X83010000Y-129680000D01* +X87440000Y-143320000D02* +X83480000Y-143320000D01* +X81281000Y-141121000D02* +X81281000Y-131409000D01* +X88900000Y-144780000D02* +X87440000Y-143320000D01* +X83010000Y-129680000D02* +X85272500Y-129680000D01* +X83480000Y-143320000D02* +X81281000Y-141121000D01* +X81682000Y-140954900D02* +X81682000Y-131575100D01* +X83646100Y-142919000D02* +X81682000Y-140954900D01* +X90170000Y-146050000D02* +X90170000Y-144240000D01* +X88900000Y-147320000D02* +X90170000Y-146050000D01* +X90170000Y-144240000D02* +X88849000Y-142919000D01* +X81682000Y-131575100D02* +X83077100Y-130180000D01* +X83077100Y-130180000D02* +X85272500Y-130180000D01* +X88849000Y-142919000D02* +X83646100Y-142919000D01* +X87460000Y-134730000D02* +X87610000Y-134880000D01* +X87460000Y-133867500D02* +X87460000Y-134730000D01* +X83286000Y-137494798D02* +X83286000Y-140290500D01* +X86460000Y-134320798D02* +X83286000Y-137494798D01* +X89676300Y-141315000D02* +X91188300Y-142827000D01* +X84310500Y-141315000D02* +X89676300Y-141315000D01* +X91188300Y-142827000D02* +X94567000Y-142827000D01* +X94567000Y-142827000D02* +X96520000Y-144780000D01* +X83286000Y-140290500D02* +X84310500Y-141315000D01* +X86460000Y-133867500D02* +X86460000Y-134320798D01* +X84010000Y-131680000D02* +X83810000Y-131880000D01* +X85272500Y-131680000D02* +X84010000Y-131680000D01* +X87960000Y-128992500D02* +X87960000Y-128180000D01* +X97580000Y-142320000D02* +X97790000Y-142530000D01* +X97580000Y-140920000D02* +X97580000Y-142320000D01* +X97790000Y-142530000D02* +X97790000Y-146050000D01* +X97790000Y-146050000D02* +X96520000Y-147320000D01* +X87960000Y-128180000D02* +X88210000Y-127930000D01* +X91022200Y-143228000D02* +X94055760Y-143228000D01* +X82885000Y-140456600D02* +X84144400Y-141716000D01* +X95250000Y-144422240D02* +X95250000Y-146050000D01* +X82885000Y-135315000D02* +X82885000Y-140456600D01* +X89510200Y-141716000D02* +X91022200Y-143228000D01* +X85020000Y-133180000D02* +X82885000Y-135315000D01* +X85272500Y-133180000D02* +X85020000Y-133180000D01* +X84144400Y-141716000D02* +X89510200Y-141716000D01* +X95250000Y-146050000D02* +X93980000Y-147320000D01* +X94055760Y-143228000D02* +X95250000Y-144422240D01* +X89344100Y-142117000D02* +X89579000Y-142351900D01* +X90856100Y-143629000D02* +X90963240Y-143629000D01* +X89011900Y-142117000D02* +X89344100Y-142117000D01* +X91916760Y-143629000D02* +X92591000Y-144303240D01* +X82484000Y-140622700D02* +X83978300Y-142117000D01* +X83510000Y-131180000D02* +X82484000Y-132206000D01* +X92710000Y-144422240D02* +X92710000Y-146050000D01* +X92710000Y-146050000D02* +X91440000Y-147320000D01* +X90963240Y-143629000D02* +X91916760Y-143629000D01* +X82484000Y-132206000D02* +X82484000Y-140622700D01* +X85272500Y-131180000D02* +X83510000Y-131180000D01* +X89947100Y-142720000D02* +X90856100Y-143629000D01* +X92591000Y-144303240D02* +X92710000Y-144422240D01* +X83978300Y-142117000D02* +X89011900Y-142117000D01* +X89579000Y-142351900D02* +X89947100Y-142720000D01* +X86960000Y-128992500D02* +X86960000Y-126179890D01* +X80880000Y-131242900D02* +X80880000Y-144380000D01* +X83080000Y-129042900D02* +X80880000Y-131242900D01* +X83080000Y-125559890D02* +X83080000Y-129042900D01* +X83485890Y-125154000D02* +X83080000Y-125559890D01* +X86960000Y-126179890D02* +X85934110Y-125154000D01* +X85934110Y-125154000D02* +X83485890Y-125154000D01* +X80880000Y-144380000D02* +X81280000Y-144780000D01* +X82083000Y-131741200D02* +X82083000Y-140788800D01* +X83812200Y-142518000D02* +X89178000Y-142518000D01* +X83144200Y-130680000D02* +X82083000Y-131741200D01* +X85272500Y-130680000D02* +X83144200Y-130680000D01* +X82083000Y-140788800D02* +X83812200Y-142518000D01* +X89178000Y-142518000D02* +X91440000Y-144780000D01* +X85272500Y-132680000D02* +X84777100Y-132680000D01* +X84777100Y-132680000D02* +X83977094Y-133480006D01* +X83977094Y-133480006D02* +X83810000Y-133480006D01* +X84710000Y-132180000D02* +X84209997Y-132680003D01* +X85272500Y-132180000D02* +X84710000Y-132180000D01* +X84209997Y-132680003D02* +X83810000Y-132680003D01* +X86960000Y-133867500D02* +X86960000Y-135680000D01* +X87460000Y-128992500D02* +X87460001Y-127179999D01* +X87460001Y-127179999D02* +X87960000Y-126680000D01* +G04 #@! TA.AperFunction,Conductor* +G36* +X96701919Y-123970907D02* +G01* +X96737883Y-124020407D01* +X96742215Y-124061063D01* +X96735000Y-124131677D01* +X96735000Y-124179999D01* +X96735001Y-124180000D01* +X97586000Y-124180000D01* +X97644191Y-124198907D01* +X97680155Y-124248407D01* +X97685000Y-124279000D01* +X97685000Y-124429999D01* +X97685001Y-124430000D01* +X97836000Y-124430000D01* +X97894191Y-124448907D01* +X97930155Y-124498407D01* +X97935000Y-124529000D01* +X97935000Y-125418993D01* +X97916093Y-125477184D01* +X97906004Y-125488997D01* +X97885000Y-125510001D01* +X97885000Y-126349999D01* +X97906004Y-126371003D01* +X97933781Y-126425520D01* +X97935000Y-126441007D01* +X97935000Y-128831000D01* +X97916093Y-128889191D01* +X97866593Y-128925155D01* +X97836000Y-128930000D01* +X97685001Y-128930000D01* +X97685000Y-128930001D01* +X97685000Y-129081000D01* +X97666093Y-129139191D01* +X97616593Y-129175155D01* +X97586000Y-129180000D01* +X96696007Y-129180000D01* +X96637816Y-129161093D01* +X96630682Y-129155000D01* +X95375979Y-129155000D01* +X95317788Y-129136093D01* +X95305976Y-129126004D01* +X94932010Y-128752039D01* +X94915315Y-128742400D01* +X94867688Y-128714903D01* +X94863489Y-128712479D01* +X94863488Y-128712478D01* +X94863487Y-128712478D01* +X94863485Y-128712477D01* +X94787064Y-128692000D01* +X94787062Y-128692000D01* +X89884499Y-128692000D01* +X89826308Y-128673093D01* +X89796217Y-128631676D01* +X95235000Y-128631676D01* +X95235000Y-128654999D01* +X95235001Y-128655000D01* +X95959999Y-128655000D01* +X95960000Y-128654999D01* +X95960000Y-127955001D01* +X96460000Y-127955001D01* +X96460000Y-128654999D01* +X96460001Y-128655000D01* +X97223993Y-128655000D01* +X97282184Y-128673907D01* +X97289318Y-128680000D01* +X97434999Y-128680000D01* +X97435000Y-128679999D01* +X97435000Y-127680001D01* +X97434999Y-127680000D01* +X96735002Y-127680000D01* +X96735001Y-127680001D01* +X96735001Y-127728322D01* +X96745142Y-127827597D01* +X96745144Y-127827607D01* +X96751301Y-127846187D01* +X96751655Y-127907372D01* +X96715979Y-127957079D01* +X96657899Y-127976323D01* +X96626187Y-127971301D01* +X96607601Y-127965143D01* +X96607603Y-127965143D01* +X96508323Y-127955000D01* +X96460001Y-127955000D01* +X96460000Y-127955001D01* +X95960000Y-127955001D01* +X95960000Y-127954999D01* +X95911679Y-127955000D01* +X95911676Y-127955001D01* +X95812402Y-127965142D01* +X95812390Y-127965145D01* +X95651512Y-128018454D01* +X95507270Y-128107425D01* +X95387425Y-128227270D01* +X95298454Y-128371512D01* +X95245143Y-128532396D01* +X95235000Y-128631676D01* +X89796217Y-128631676D01* +X89790344Y-128623593D01* +X89786042Y-128596430D01* +X89785975Y-128596437D01* +X89785862Y-128595296D01* +X89785499Y-128593000D01* +X89785499Y-128591602D01* +X89785498Y-128591595D01* +X89770240Y-128514883D01* +X89770239Y-128514881D01* +X89723774Y-128445342D01* +X89712112Y-128427888D01* +X89625117Y-128369760D01* +X89625115Y-128369759D01* +X89625114Y-128369759D01* +X89562885Y-128357380D01* +X89558783Y-128355082D01* +X89554084Y-128355206D01* +X89532427Y-128340322D01* +X89509502Y-128327483D01* +X89503659Y-128320551D01* +X89460855Y-128264768D01* +X89440431Y-128207092D01* +X89457808Y-128148426D01* +X89506350Y-128111179D01* +X89539397Y-128105500D01* +X89993490Y-128105500D01* +X90014885Y-128102111D01* +X90093126Y-128089719D01* +X90213220Y-128028528D01* +X90308528Y-127933220D01* +X90369719Y-127813126D01* +X90369719Y-127813124D01* +X90371538Y-127809555D01* +X90387929Y-127793163D01* +X90401557Y-127774407D01* +X90409154Y-127771938D01* +X90414803Y-127766290D01* +X90459748Y-127755500D01* +X90460252Y-127755500D01* +X90518443Y-127774407D01* +X90548462Y-127809555D01* +X90550280Y-127813124D01* +X90550281Y-127813126D01* +X90611472Y-127933220D01* +X90706780Y-128028528D01* +X90706782Y-128028529D01* +X90826867Y-128089716D01* +X90826869Y-128089716D01* +X90826874Y-128089719D01* +X90902541Y-128101703D01* +X90926510Y-128105500D01* +X90926512Y-128105500D01* +X91493490Y-128105500D01* +X91514885Y-128102111D01* +X91593126Y-128089719D01* +X91713220Y-128028528D01* +X91808528Y-127933220D01* +X91869719Y-127813126D01* +X91885500Y-127713488D01* +X91885500Y-127196512D01* +X91875231Y-127131676D01* +X96735000Y-127131676D01* +X96735000Y-127179999D01* +X96735001Y-127180000D01* +X97434999Y-127180000D01* +X97435000Y-127179999D01* +X97435000Y-127010001D01* +X97413996Y-126988997D01* +X97386219Y-126934480D01* +X97385000Y-126918993D01* +X97385000Y-126180001D01* +X97384999Y-126180000D01* +X96735002Y-126180000D01* +X96735001Y-126180001D01* +X96735001Y-126261582D01* +X96741408Y-126332103D01* +X96741410Y-126332109D01* +X96791979Y-126494392D01* +X96791984Y-126494404D01* +X96879044Y-126638418D01* +X96892968Y-126697998D01* +X96878582Y-126741607D01* +X96798454Y-126871512D01* +X96745143Y-127032396D01* +X96735000Y-127131676D01* +X91875231Y-127131676D01* +X91869719Y-127096874D01* +X91869716Y-127096869D01* +X91869716Y-127096867D01* +X91808529Y-126976782D01* +X91808528Y-126976780D01* +X91767215Y-126935467D01* +X91739440Y-126880953D01* +X91749011Y-126820521D01* +X91785248Y-126781205D01* +X91912732Y-126702571D01* +X92032574Y-126582729D01* +X92121545Y-126438487D01* +X92174856Y-126277603D01* +X92185000Y-126178323D01* +X92185000Y-126155001D01* +X92184999Y-126155000D01* +X88735002Y-126155000D01* +X88735001Y-126155001D01* +X88735001Y-126178322D01* +X88742843Y-126255088D01* +X88729948Y-126314899D01* +X88684359Y-126355707D01* +X88623490Y-126361925D01* +X88570592Y-126331178D01* +X88562041Y-126320151D01* +X88531080Y-126273816D01* +X88504114Y-126233458D01* +X88406542Y-126135886D01* +X88406541Y-126135885D01* +X88291817Y-126059228D01* +X88291806Y-126059222D01* +X88164328Y-126006420D01* +X88028995Y-125979500D01* +X88028993Y-125979500D01* +X87891007Y-125979500D01* +X87891004Y-125979500D01* +X87755672Y-126006420D01* +X87755670Y-126006420D01* +X87628193Y-126059222D01* +X87628182Y-126059228D01* +X87513458Y-126135885D01* +X87513454Y-126135889D01* +X87429504Y-126219840D01* +X87374987Y-126247617D01* +X87314555Y-126238046D01* +X87271290Y-126194781D01* +X87261882Y-126166320D01* +X87260500Y-126158135D01* +X87260500Y-126140328D01* +X87253582Y-126114511D01* +X87240022Y-126063902D01* +X87200460Y-125995379D01* +X86836757Y-125631676D01* +X88735000Y-125631676D01* +X88735000Y-125654999D01* +X88735001Y-125655000D01* +X89459999Y-125655000D01* +X89460000Y-125654999D01* +X89460000Y-124955001D01* +X89960000Y-124955001D01* +X89960000Y-125654999D01* +X89960001Y-125655000D01* +X90959999Y-125655000D01* +X90960000Y-125654999D01* +X90960000Y-124955001D01* +X91460000Y-124955001D01* +X91460000Y-125654999D01* +X91460001Y-125655000D01* +X92184998Y-125655000D01* +X92184999Y-125654999D01* +X92184999Y-125631685D01* +X92182400Y-125606242D01* +X92182400Y-125606239D01* +X92181601Y-125598418D01* +X96735000Y-125598418D01* +X96735000Y-125679999D01* +X96735001Y-125680000D01* +X97384999Y-125680000D01* +X97385000Y-125679999D01* +X97385000Y-124941007D01* +X97403907Y-124882816D01* +X97413996Y-124871003D01* +X97435000Y-124849999D01* +X97435000Y-124680001D01* +X97434999Y-124680000D01* +X96735002Y-124680000D01* +X96735001Y-124680001D01* +X96735001Y-124728322D01* +X96745142Y-124827597D01* +X96745145Y-124827609D01* +X96798454Y-124988487D01* +X96878582Y-125118392D01* +X96893039Y-125177845D01* +X96879045Y-125221581D01* +X96791981Y-125365602D01* +X96791979Y-125365607D01* +X96741410Y-125527889D01* +X96741408Y-125527895D01* +X96735000Y-125598418D01* +X92181601Y-125598418D01* +X92174856Y-125532401D01* +X92174854Y-125532390D01* +X92121545Y-125371512D01* +X92032574Y-125227270D01* +X91912729Y-125107425D01* +X91768487Y-125018454D01* +X91607603Y-124965143D01* +X91508323Y-124955000D01* +X91460001Y-124955000D01* +X91460000Y-124955001D01* +X90960000Y-124955001D01* +X90960000Y-124954999D01* +X90911679Y-124955000D01* +X90911676Y-124955001D01* +X90812402Y-124965142D01* +X90812390Y-124965145D01* +X90651512Y-125018454D01* +X90511973Y-125104525D01* +X90452520Y-125118982D01* +X90408027Y-125104525D01* +X90268487Y-125018454D01* +X90107603Y-124965143D01* +X90008323Y-124955000D01* +X89960001Y-124955000D01* +X89960000Y-124955001D01* +X89460000Y-124955001D01* +X89460000Y-124954999D01* +X89411679Y-124955000D01* +X89411676Y-124955001D01* +X89312402Y-124965142D01* +X89312390Y-124965145D01* +X89151512Y-125018454D01* +X89007270Y-125107425D01* +X88887425Y-125227270D01* +X88798454Y-125371512D01* +X88745143Y-125532396D01* +X88735000Y-125631676D01* +X86836757Y-125631676D01* +X86118621Y-124913540D01* +X86118618Y-124913538D01* +X86050102Y-124873980D01* +X86050098Y-124873978D01* +X85973674Y-124853500D01* +X85973672Y-124853500D01* +X83446328Y-124853500D01* +X83446325Y-124853500D01* +X83369901Y-124873978D01* +X83369897Y-124873980D01* +X83301379Y-124913539D01* +X82839539Y-125375379D01* +X82799980Y-125443897D01* +X82799978Y-125443901D01* +X82779501Y-125520322D01* +X82779500Y-125520327D01* +X82779500Y-128877421D01* +X82760593Y-128935612D01* +X82750504Y-128947425D01* +X80695489Y-131002440D01* +X80695488Y-131002439D01* +X80639539Y-131058389D01* +X80599980Y-131126907D01* +X80599978Y-131126911D01* +X80579500Y-131203335D01* +X80579500Y-143953860D01* +X80560593Y-144012051D01* +X80550504Y-144023863D01* +X80464022Y-144110345D01* +X80349058Y-144282402D01* +X80269869Y-144473581D01* +X80229500Y-144676532D01* +X80229500Y-144883467D01* +X80269869Y-145086418D01* +X80349058Y-145277597D01* +X80464020Y-145449651D01* +X80464023Y-145449655D01* +X80610345Y-145595977D01* +X80782402Y-145710941D01* +X80973580Y-145790130D01* +X81176535Y-145830500D01* +X81176536Y-145830500D01* +X81383464Y-145830500D01* +X81383465Y-145830500D01* +X81586420Y-145790130D01* +X81777598Y-145710941D01* +X81949655Y-145595977D01* +X82095977Y-145449655D01* +X82210941Y-145277598D01* +X82290130Y-145086420D01* +X82330500Y-144883465D01* +X82330500Y-144676535D01* +X82290130Y-144473580D01* +X82210941Y-144282402D01* +X82095977Y-144110345D01* +X81949655Y-143964023D01* +X81934445Y-143953860D01* +X81777597Y-143849058D01* +X81586418Y-143769869D01* +X81383467Y-143729500D01* +X81383465Y-143729500D01* +X81279500Y-143729500D01* +X81221309Y-143710593D01* +X81185345Y-143661093D01* +X81180500Y-143630500D01* +X81180500Y-141684478D01* +X81199407Y-141626287D01* +X81248907Y-141590323D01* +X81310093Y-141590323D01* +X81349501Y-141614472D01* +X83295489Y-143560460D01* +X83295491Y-143560461D01* +X83295493Y-143560463D01* +X83364008Y-143600020D01* +X83364009Y-143600020D01* +X83364012Y-143600022D01* +X83422109Y-143615588D01* +X83473423Y-143648912D01* +X83495350Y-143706033D01* +X83479515Y-143765134D01* +X83434372Y-143802679D01* +X83322402Y-143849058D01* +X83150348Y-143964020D01* +X83004020Y-144110348D01* +X82889058Y-144282402D01* +X82809869Y-144473581D01* +X82769500Y-144676532D01* +X82769500Y-144883467D01* +X82809869Y-145086418D01* +X82889058Y-145277597D01* +X83004020Y-145449651D01* +X83004023Y-145449655D01* +X83150345Y-145595977D01* +X83322402Y-145710941D01* +X83513580Y-145790130D01* +X83716535Y-145830500D01* +X83716536Y-145830500D01* +X83923464Y-145830500D01* +X83923465Y-145830500D01* +X84126420Y-145790130D01* +X84317598Y-145710941D01* +X84489655Y-145595977D01* +X84635977Y-145449655D01* +X84750941Y-145277598D01* +X84830130Y-145086420D01* +X84870500Y-144883465D01* +X84870500Y-144676535D01* +X84830130Y-144473580D01* +X84750941Y-144282402D01* +X84635977Y-144110345D01* +X84489655Y-143964023D01* +X84474445Y-143953860D01* +X84317597Y-143849058D01* +X84225629Y-143810964D01* +X84179104Y-143771227D01* +X84164820Y-143711732D01* +X84188235Y-143655205D01* +X84240404Y-143623235D01* +X84263515Y-143620500D01* +X85916485Y-143620500D01* +X85974676Y-143639407D01* +X86010640Y-143688907D01* +X86010640Y-143750093D01* +X85974676Y-143799593D01* +X85954371Y-143810964D01* +X85862402Y-143849058D01* +X85690348Y-143964020D01* +X85544020Y-144110348D01* +X85429058Y-144282402D01* +X85349869Y-144473581D01* +X85309500Y-144676532D01* +X85309500Y-144883467D01* +X85349869Y-145086418D01* +X85429058Y-145277597D01* +X85544020Y-145449651D01* +X85544023Y-145449655D01* +X85690345Y-145595977D01* +X85862402Y-145710941D01* +X86053580Y-145790130D01* +X86256535Y-145830500D01* +X86256536Y-145830500D01* +X86463464Y-145830500D01* +X86463465Y-145830500D01* +X86666420Y-145790130D01* +X86857598Y-145710941D01* +X87029655Y-145595977D01* +X87175977Y-145449655D01* +X87290941Y-145277598D01* +X87370130Y-145086420D01* +X87410500Y-144883465D01* +X87410500Y-144676535D01* +X87370130Y-144473580D01* +X87290941Y-144282402D01* +X87175977Y-144110345D01* +X87029655Y-143964023D01* +X87014445Y-143953860D01* +X86857597Y-143849058D01* +X86765629Y-143810964D01* +X86719104Y-143771227D01* +X86704820Y-143711732D01* +X86728235Y-143655205D01* +X86780404Y-143623235D01* +X86803515Y-143620500D01* +X87274521Y-143620500D01* +X87332712Y-143639407D01* +X87344525Y-143649496D01* +X87924736Y-144229707D01* +X87952513Y-144284224D01* +X87946196Y-144337596D01* +X87889870Y-144473578D01* +X87889870Y-144473580D01* +X87849500Y-144676532D01* +X87849500Y-144883467D01* +X87889869Y-145086418D01* +X87969058Y-145277597D01* +X88084020Y-145449651D01* +X88084023Y-145449655D01* +X88230345Y-145595977D01* +X88402402Y-145710941D01* +X88593580Y-145790130D01* +X88796535Y-145830500D01* +X88796536Y-145830500D01* +X89003464Y-145830500D01* +X89003465Y-145830500D01* +X89206420Y-145790130D01* +X89397598Y-145710941D01* +X89569655Y-145595977D01* +X89700498Y-145465133D01* +X89755013Y-145437358D01* +X89815445Y-145446929D01* +X89858710Y-145490194D01* +X89869500Y-145535139D01* +X89869500Y-145884521D01* +X89850593Y-145942712D01* +X89840503Y-145954525D01* +X89450291Y-146344736D01* +X89395775Y-146372513D01* +X89342402Y-146366196D01* +X89206418Y-146309869D01* +X89003467Y-146269500D01* +X89003465Y-146269500D01* +X88796535Y-146269500D01* +X88796532Y-146269500D01* +X88593581Y-146309869D01* +X88402402Y-146389058D01* +X88230348Y-146504020D01* +X88084020Y-146650348D01* +X87969058Y-146822402D01* +X87889869Y-147013581D01* +X87849500Y-147216532D01* +X87849500Y-147423467D01* +X87889869Y-147626418D01* +X87969058Y-147817597D01* +X88084020Y-147989651D01* +X88084023Y-147989655D01* +X88230345Y-148135977D01* +X88402402Y-148250941D01* +X88593580Y-148330130D01* +X88796535Y-148370500D01* +X88796536Y-148370500D01* +X89003464Y-148370500D01* +X89003465Y-148370500D01* +X89206420Y-148330130D01* +X89397598Y-148250941D01* +X89569655Y-148135977D01* +X89715977Y-147989655D01* +X89830941Y-147817598D01* +X89910130Y-147626420D01* +X89950500Y-147423465D01* +X89950500Y-147216535D01* +X89910130Y-147013580D01* +X89853802Y-146877595D01* +X89849002Y-146816599D01* +X89875261Y-146769708D01* +X90410460Y-146234511D01* +X90450021Y-146165989D01* +X90470500Y-146089562D01* +X90470500Y-145535139D01* +X90489407Y-145476948D01* +X90538907Y-145440984D01* +X90600093Y-145440984D01* +X90639501Y-145465133D01* +X90770345Y-145595977D01* +X90942402Y-145710941D01* +X91133580Y-145790130D01* +X91336535Y-145830500D01* +X91336536Y-145830500D01* +X91543464Y-145830500D01* +X91543465Y-145830500D01* +X91746420Y-145790130D01* +X91937598Y-145710941D01* +X92109655Y-145595977D01* +X92240498Y-145465133D01* +X92295013Y-145437358D01* +X92355445Y-145446929D01* +X92398710Y-145490194D01* +X92409500Y-145535139D01* +X92409500Y-145884521D01* +X92390593Y-145942712D01* +X92380503Y-145954525D01* +X91990291Y-146344736D01* +X91935775Y-146372513D01* +X91882402Y-146366196D01* +X91746418Y-146309869D01* +X91543467Y-146269500D01* +X91543465Y-146269500D01* +X91336535Y-146269500D01* +X91336532Y-146269500D01* +X91133581Y-146309869D01* +X90942402Y-146389058D01* +X90770348Y-146504020D01* +X90624020Y-146650348D01* +X90509058Y-146822402D01* +X90429869Y-147013581D01* +X90389500Y-147216532D01* +X90389500Y-147423467D01* +X90429869Y-147626418D01* +X90509058Y-147817597D01* +X90624020Y-147989651D01* +X90624023Y-147989655D01* +X90770345Y-148135977D01* +X90942402Y-148250941D01* +X91133580Y-148330130D01* +X91336535Y-148370500D01* +X91336536Y-148370500D01* +X91543464Y-148370500D01* +X91543465Y-148370500D01* +X91746420Y-148330130D01* +X91937598Y-148250941D01* +X92109655Y-148135977D01* +X92255977Y-147989655D01* +X92370941Y-147817598D01* +X92450130Y-147626420D01* +X92490500Y-147423465D01* +X92490500Y-147216535D01* +X92450130Y-147013580D01* +X92393802Y-146877595D01* +X92389002Y-146816599D01* +X92415261Y-146769708D01* +X92950460Y-146234511D01* +X92990021Y-146165989D01* +X93010500Y-146089562D01* +X93010500Y-145535139D01* +X93029407Y-145476948D01* +X93078907Y-145440984D01* +X93140093Y-145440984D01* +X93179501Y-145465133D01* +X93310345Y-145595977D01* +X93482402Y-145710941D01* +X93673580Y-145790130D01* +X93876535Y-145830500D01* +X93876536Y-145830500D01* +X94083464Y-145830500D01* +X94083465Y-145830500D01* +X94286420Y-145790130D01* +X94477598Y-145710941D01* +X94649655Y-145595977D01* +X94780498Y-145465133D01* +X94835013Y-145437358D01* +X94895445Y-145446929D01* +X94938710Y-145490194D01* +X94949500Y-145535139D01* +X94949500Y-145884521D01* +X94930593Y-145942712D01* +X94920503Y-145954525D01* +X94530291Y-146344736D01* +X94475775Y-146372513D01* +X94422402Y-146366196D01* +X94286418Y-146309869D01* +X94083467Y-146269500D01* +X94083465Y-146269500D01* +X93876535Y-146269500D01* +X93876532Y-146269500D01* +X93673581Y-146309869D01* +X93482402Y-146389058D01* +X93310348Y-146504020D01* +X93164020Y-146650348D01* +X93049058Y-146822402D01* +X92969869Y-147013581D01* +X92929500Y-147216532D01* +X92929500Y-147423467D01* +X92969869Y-147626418D01* +X93049058Y-147817597D01* +X93164020Y-147989651D01* +X93164023Y-147989655D01* +X93310345Y-148135977D01* +X93482402Y-148250941D01* +X93673580Y-148330130D01* +X93876535Y-148370500D01* +X93876536Y-148370500D01* +X94083464Y-148370500D01* +X94083465Y-148370500D01* +X94286420Y-148330130D01* +X94477598Y-148250941D01* +X94649655Y-148135977D01* +X94795977Y-147989655D01* +X94910941Y-147817598D01* +X94990130Y-147626420D01* +X95030500Y-147423465D01* +X95030500Y-147216535D01* +X94990130Y-147013580D01* +X94933802Y-146877595D01* +X94929002Y-146816599D01* +X94955261Y-146769708D01* +X95490460Y-146234511D01* +X95530021Y-146165989D01* +X95550500Y-146089562D01* +X95550500Y-145535139D01* +X95569407Y-145476948D01* +X95618907Y-145440984D01* +X95680093Y-145440984D01* +X95719501Y-145465133D01* +X95850345Y-145595977D01* +X96022402Y-145710941D01* +X96213580Y-145790130D01* +X96416535Y-145830500D01* +X96416536Y-145830500D01* +X96623464Y-145830500D01* +X96623465Y-145830500D01* +X96826420Y-145790130D01* +X97017598Y-145710941D01* +X97189655Y-145595977D01* +X97320498Y-145465133D01* +X97375013Y-145437358D01* +X97435445Y-145446929D01* +X97478710Y-145490194D01* +X97489500Y-145535139D01* +X97489500Y-145884521D01* +X97470593Y-145942712D01* +X97460503Y-145954525D01* +X97070291Y-146344736D01* +X97015775Y-146372513D01* +X96962402Y-146366196D01* +X96826418Y-146309869D01* +X96623467Y-146269500D01* +X96623465Y-146269500D01* +X96416535Y-146269500D01* +X96416532Y-146269500D01* +X96213581Y-146309869D01* +X96022402Y-146389058D01* +X95850348Y-146504020D01* +X95704020Y-146650348D01* +X95589058Y-146822402D01* +X95509869Y-147013581D01* +X95469500Y-147216532D01* +X95469500Y-147423467D01* +X95509869Y-147626418D01* +X95589058Y-147817597D01* +X95704020Y-147989651D01* +X95704023Y-147989655D01* +X95850345Y-148135977D01* +X96022402Y-148250941D01* +X96213580Y-148330130D01* +X96416535Y-148370500D01* +X96416536Y-148370500D01* +X96623464Y-148370500D01* +X96623465Y-148370500D01* +X96826420Y-148330130D01* +X97017598Y-148250941D01* +X97189655Y-148135977D01* +X97335977Y-147989655D01* +X97450941Y-147817598D01* +X97530130Y-147626420D01* +X97570500Y-147423465D01* +X97570500Y-147216535D01* +X97570499Y-147216532D01* +X98009500Y-147216532D01* +X98009500Y-147423467D01* +X98049869Y-147626418D01* +X98129058Y-147817597D01* +X98244020Y-147989651D01* +X98244023Y-147989655D01* +X98390345Y-148135977D01* +X98562402Y-148250941D01* +X98753580Y-148330130D01* +X98956535Y-148370500D01* +X98956536Y-148370500D01* +X99163464Y-148370500D01* +X99163465Y-148370500D01* +X99366420Y-148330130D01* +X99557598Y-148250941D01* +X99729655Y-148135977D01* +X99875977Y-147989655D01* +X99990941Y-147817598D01* +X100070130Y-147626420D01* +X100079762Y-147577996D01* +X100109656Y-147524613D01* +X100165221Y-147498996D01* +X100225231Y-147510932D01* +X100266764Y-147555861D01* +X100274640Y-147581822D01* +X100283241Y-147636122D01* +X100348904Y-147838215D01* +X100445375Y-148027552D01* +X100570277Y-148199464D01* +X100720535Y-148349722D01* +X100892447Y-148474624D01* +X101081784Y-148571095D01* +X101283877Y-148636758D01* +X101349999Y-148647231D01* +X101350000Y-148647230D01* +X101350000Y-147753012D01* +X101407007Y-147785925D01* +X101534174Y-147820000D01* +X101665826Y-147820000D01* +X101792993Y-147785925D01* +X101850000Y-147753012D01* +X101850000Y-148647231D01* +X101916122Y-148636758D01* +X102118215Y-148571095D01* +X102307552Y-148474624D01* +X102479464Y-148349722D01* +X102629722Y-148199464D01* +X102754624Y-148027552D01* +X102851095Y-147838215D01* +X102916759Y-147636121D01* +X102925359Y-147581823D01* +X102953136Y-147527307D01* +X103007653Y-147499529D01* +X103068085Y-147509100D01* +X103111349Y-147552365D01* +X103120238Y-147577996D01* +X103129869Y-147626418D01* +X103209058Y-147817597D01* +X103324020Y-147989651D01* +X103324023Y-147989655D01* +X103470345Y-148135977D01* +X103642402Y-148250941D01* +X103833580Y-148330130D01* +X104036535Y-148370500D01* +X104036536Y-148370500D01* +X104243464Y-148370500D01* +X104243465Y-148370500D01* +X104446420Y-148330130D01* +X104637598Y-148250941D01* +X104809655Y-148135977D01* +X104955977Y-147989655D01* +X105070941Y-147817598D01* +X105150130Y-147626420D01* +X105190500Y-147423465D01* +X105190500Y-147216535D01* +X105150130Y-147013580D01* +X105070941Y-146822402D01* +X104955977Y-146650345D01* +X104809655Y-146504023D01* +X104729182Y-146450253D01* +X104637597Y-146389058D01* +X104446418Y-146309869D01* +X104243467Y-146269500D01* +X104243465Y-146269500D01* +X104036535Y-146269500D01* +X104036532Y-146269500D01* +X103833581Y-146309869D01* +X103642402Y-146389058D01* +X103470348Y-146504020D01* +X103324020Y-146650348D01* +X103209058Y-146822402D01* +X103129869Y-147013581D01* +X103120238Y-147062003D01* +X103090341Y-147115387D01* +X103034776Y-147141003D01* +X102974766Y-147129066D01* +X102933234Y-147084136D01* +X102925359Y-147058176D01* +X102916759Y-147003878D01* +X102851095Y-146801784D01* +X102754624Y-146612447D01* +X102629722Y-146440535D01* +X102479464Y-146290277D01* +X102307552Y-146165375D01* +X102118215Y-146068904D01* +X101916122Y-146003241D01* +X101861822Y-145994640D01* +X101807306Y-145966862D01* +X101779529Y-145912345D01* +X101789101Y-145851913D01* +X101832366Y-145808649D01* +X101857993Y-145799762D01* +X101906420Y-145790130D01* +X102097598Y-145710941D01* +X102269655Y-145595977D01* +X102415977Y-145449655D01* +X102530941Y-145277598D01* +X102610130Y-145086420D01* +X102650500Y-144883465D01* +X102650500Y-144676535D01* +X102610130Y-144473580D01* +X102530941Y-144282402D01* +X102415977Y-144110345D01* +X102269655Y-143964023D01* +X102254445Y-143953860D01* +X102097597Y-143849058D01* +X101906418Y-143769869D01* +X101703467Y-143729500D01* +X101703465Y-143729500D01* +X101496535Y-143729500D01* +X101496532Y-143729500D01* +X101293581Y-143769869D01* +X101102402Y-143849058D01* +X100930348Y-143964020D01* +X100784020Y-144110348D01* +X100669058Y-144282402D01* +X100589869Y-144473581D01* +X100549500Y-144676532D01* +X100549500Y-144883467D01* +X100589869Y-145086418D01* +X100669058Y-145277597D01* +X100784020Y-145449651D01* +X100784023Y-145449655D01* +X100930345Y-145595977D01* +X101102402Y-145710941D01* +X101293580Y-145790130D01* +X101342003Y-145799762D01* +X101395386Y-145829657D01* +X101421003Y-145885221D01* +X101409067Y-145945231D01* +X101364138Y-145986765D01* +X101338177Y-145994640D01* +X101283877Y-146003241D01* +X101081784Y-146068904D01* +X100892447Y-146165375D01* +X100720535Y-146290277D01* +X100570277Y-146440535D01* +X100445375Y-146612447D01* +X100348904Y-146801784D01* +X100283241Y-147003877D01* +X100274640Y-147058177D01* +X100246862Y-147112694D01* +X100192345Y-147140470D01* +X100131913Y-147130898D01* +X100088649Y-147087633D01* +X100079762Y-147062006D01* +X100070130Y-147013580D01* +X99990941Y-146822402D01* +X99875977Y-146650345D01* +X99729655Y-146504023D01* +X99649182Y-146450253D01* +X99557597Y-146389058D01* +X99366418Y-146309869D01* +X99163467Y-146269500D01* +X99163465Y-146269500D01* +X98956535Y-146269500D01* +X98956532Y-146269500D01* +X98753581Y-146309869D01* +X98562402Y-146389058D01* +X98390348Y-146504020D01* +X98244020Y-146650348D01* +X98129058Y-146822402D01* +X98049869Y-147013581D01* +X98009500Y-147216532D01* +X97570499Y-147216532D01* +X97530130Y-147013580D01* +X97473802Y-146877595D01* +X97469002Y-146816599D01* +X97495261Y-146769708D01* +X98030460Y-146234511D01* +X98070021Y-146165989D01* +X98090500Y-146089562D01* +X98090500Y-145535139D01* +X98109407Y-145476948D01* +X98158907Y-145440984D01* +X98220093Y-145440984D01* +X98259501Y-145465133D01* +X98390345Y-145595977D01* +X98562402Y-145710941D01* +X98753580Y-145790130D01* +X98956535Y-145830500D01* +X98956536Y-145830500D01* +X99163464Y-145830500D01* +X99163465Y-145830500D01* +X99366420Y-145790130D01* +X99557598Y-145710941D01* +X99729655Y-145595977D01* +X99875977Y-145449655D01* +X99990941Y-145277598D01* +X100070130Y-145086420D01* +X100110500Y-144883465D01* +X100110500Y-144676535D01* +X100070130Y-144473580D01* +X99990941Y-144282402D01* +X99875977Y-144110345D01* +X99729655Y-143964023D01* +X99714445Y-143953860D01* +X99557597Y-143849058D01* +X99366418Y-143769869D01* +X99163467Y-143729500D01* +X99163465Y-143729500D01* +X98956535Y-143729500D01* +X98956532Y-143729500D01* +X98753581Y-143769869D01* +X98562402Y-143849058D01* +X98390348Y-143964020D01* +X98390345Y-143964022D01* +X98390345Y-143964023D01* +X98259501Y-144094866D01* +X98204987Y-144122642D01* +X98144555Y-144113071D01* +X98101290Y-144069806D01* +X98090500Y-144024861D01* +X98090500Y-142490437D01* +X98090499Y-142490435D01* +X98070021Y-142414011D01* +X98070019Y-142414007D01* +X98030460Y-142345489D01* +X97974511Y-142289539D01* +X97974511Y-142289540D01* +X97909496Y-142224525D01* +X97881719Y-142170008D01* +X97880500Y-142154521D01* +X97880500Y-141368321D01* +X97899407Y-141310130D01* +X97909490Y-141298323D01* +X97980500Y-141227314D01* +X98046392Y-141113186D01* +X98080500Y-140985892D01* +X98080500Y-140854108D01* +X98046392Y-140726814D01* +X98046390Y-140726811D01* +X98046390Y-140726809D01* +X97980503Y-140612690D01* +X97980501Y-140612688D01* +X97980500Y-140612686D01* +X97887314Y-140519500D01* +X97887311Y-140519498D01* +X97887309Y-140519496D01* +X97773189Y-140453609D01* +X97773191Y-140453609D01* +X97723799Y-140440375D01* +X97645892Y-140419500D01* +X97514108Y-140419500D01* +X97436200Y-140440375D01* +X97386809Y-140453609D01* +X97272690Y-140519496D01* +X97179496Y-140612690D01* +X97113609Y-140726809D01* +X97113608Y-140726814D01* +X97079500Y-140854108D01* +X97079500Y-140985892D01* +X97102275Y-141070889D01* +X97113609Y-141113190D01* +X97179496Y-141227309D01* +X97179498Y-141227311D01* +X97179500Y-141227314D01* +X97250505Y-141298319D01* +X97278281Y-141352834D01* +X97279500Y-141368321D01* +X97279500Y-142359561D01* +X97299979Y-142435989D01* +X97299979Y-142435991D01* +X97302282Y-142439978D01* +X97302283Y-142439979D01* +X97339540Y-142504511D01* +X97460505Y-142625476D01* +X97488281Y-142679991D01* +X97489500Y-142695478D01* +X97489500Y-144024861D01* +X97470593Y-144083052D01* +X97421093Y-144119016D01* +X97359907Y-144119016D01* +X97320498Y-144094866D01* +X97189655Y-143964023D01* +X97174445Y-143953860D01* +X97017597Y-143849058D01* +X96826418Y-143769869D01* +X96623467Y-143729500D01* +X96623465Y-143729500D01* +X96416535Y-143729500D01* +X96416532Y-143729500D01* +X96213580Y-143769870D01* +X96213578Y-143769870D01* +X96077596Y-143826196D01* +X96016600Y-143830997D01* +X95969707Y-143804736D01* +X95384471Y-143219500D01* +X94751511Y-142586540D01* +X94747569Y-142584264D01* +X94722104Y-142569561D01* +X94722104Y-142569562D01* +X94682989Y-142546979D01* +X94682988Y-142546978D01* +X94682987Y-142546978D01* +X94606564Y-142526500D01* +X94606562Y-142526500D01* +X91353779Y-142526500D01* +X91295588Y-142507593D01* +X91283775Y-142497504D01* +X90940792Y-142154521D01* +X89860811Y-141074540D01* +X89860805Y-141074536D01* +X89860803Y-141074535D01* +X89854488Y-141070889D01* +X89854487Y-141070888D01* +X89854487Y-141070889D01* +X89792289Y-141034979D01* +X89792288Y-141034978D01* +X89792287Y-141034978D01* +X89715864Y-141014500D01* +X89715862Y-141014500D01* +X84475979Y-141014500D01* +X84417788Y-140995593D01* +X84405975Y-140985504D01* +X83623191Y-140202720D01* +X83595414Y-140148203D01* +X83604985Y-140087771D01* +X83648250Y-140044506D01* +X83708682Y-140034935D01* +X83738139Y-140044506D01* +X83826874Y-140089719D01* +X83902541Y-140101703D01* +X83926510Y-140105500D01* +X83926512Y-140105500D01* +X84493490Y-140105500D01* +X84514885Y-140102111D01* +X84593126Y-140089719D01* +X84713220Y-140028528D01* +X84808528Y-139933220D01* +X84869719Y-139813126D01* +X84869719Y-139813124D01* +X84871538Y-139809555D01* +X84887929Y-139793163D01* +X84901557Y-139774407D01* +X84909154Y-139771938D01* +X84914803Y-139766290D01* +X84959748Y-139755500D01* +X85110500Y-139755500D01* +X85168691Y-139774407D01* +X85204655Y-139823907D01* +X85209500Y-139854500D01* +X85209500Y-140149746D01* +X85209501Y-140149758D01* +X85221132Y-140208227D01* +X85221134Y-140208233D01* +X85255946Y-140260332D01* +X85265448Y-140274552D01* +X85331769Y-140318867D01* +X85376231Y-140327711D01* +X85390241Y-140330498D01* +X85390246Y-140330498D01* +X85390252Y-140330500D01* +X85390253Y-140330500D01* +X86829747Y-140330500D01* +X86829748Y-140330500D01* +X86888231Y-140318867D01* +X86954552Y-140274552D01* +X86959847Y-140266627D01* +X87007892Y-140228747D01* +X87069030Y-140226341D01* +X87119906Y-140260332D01* +X87134921Y-140287029D01* +X87166647Y-140372090D01* +X87252807Y-140487184D01* +X87252815Y-140487192D01* +X87367909Y-140573352D01* +X87367911Y-140573353D01* +X87502618Y-140623596D01* +X87502629Y-140623598D01* +X87562176Y-140630000D01* +X88059999Y-140630000D01* +X88060000Y-140629999D01* +X88060000Y-139780001D01* +X88560000Y-139780001D01* +X88560000Y-140629999D01* +X88560001Y-140630000D01* +X89057824Y-140630000D01* +X89117370Y-140623598D01* +X89117381Y-140623596D01* +X89252088Y-140573353D01* +X89252090Y-140573352D01* +X89367184Y-140487192D01* +X89367192Y-140487184D01* +X89453352Y-140372090D01* +X89453353Y-140372088D01* +X89465129Y-140340517D01* +X89503179Y-140292602D01* +X89562126Y-140276204D01* +X89609861Y-140290853D01* +X89651514Y-140316546D01* +X89812396Y-140369856D01* +X89911677Y-140379999D01* +X89960000Y-140379998D01* +X89960000Y-139680001D01* +X89959999Y-139680000D01* +X89615001Y-139680000D01* +X89615000Y-139680001D01* +X89615000Y-139681000D01* +X89596093Y-139739191D01* +X89546593Y-139775155D01* +X89516000Y-139780000D01* +X88560001Y-139780000D01* +X88560000Y-139780001D01* +X88060000Y-139780001D01* +X88060000Y-139629000D01* +X88078907Y-139570809D01* +X88128407Y-139534845D01* +X88159000Y-139530000D01* +X88309999Y-139530000D01* +X88310000Y-139529999D01* +X88310000Y-139379000D01* +X88328907Y-139320809D01* +X88378407Y-139284845D01* +X88409000Y-139280000D01* +X89129999Y-139280000D01* +X89130000Y-139279999D01* +X89130000Y-139279000D01* +X89148907Y-139220809D01* +X89198407Y-139184845D01* +X89229000Y-139180000D01* +X90111000Y-139180000D01* +X90169191Y-139198907D01* +X90205155Y-139248407D01* +X90210000Y-139279000D01* +X90210000Y-139429999D01* +X90210001Y-139430000D01* +X90361000Y-139430000D01* +X90419191Y-139448907D01* +X90455155Y-139498407D01* +X90460000Y-139529000D01* +X90460000Y-140379998D01* +X90460001Y-140379999D01* +X90508322Y-140379999D01* +X90607597Y-140369857D01* +X90607609Y-140369854D01* +X90768487Y-140316545D01* +X90912729Y-140227574D01* +X91032572Y-140107731D01* +X91064157Y-140056524D01* +X91110798Y-140016923D01* +X91171808Y-140012299D01* +X91199723Y-140025216D01* +X91199838Y-140024991D01* +X91205783Y-140028020D01* +X91206606Y-140028401D01* +X91206775Y-140028523D01* +X91206780Y-140028528D01* +X91238139Y-140044506D01* +X91326867Y-140089716D01* +X91326869Y-140089716D01* +X91326874Y-140089719D01* +X91402541Y-140101703D01* +X91426510Y-140105500D01* +X91426512Y-140105500D01* +X91943490Y-140105500D01* +X91964885Y-140102111D01* +X92043126Y-140089719D01* +X92163220Y-140028528D01* +X92204531Y-139987216D01* +X92259045Y-139959440D01* +X92319477Y-139969011D01* +X92358793Y-140005248D01* +X92437426Y-140132729D01* +X92437426Y-140132730D01* +X92557270Y-140252574D01* +X92701512Y-140341545D01* +X92862396Y-140394856D01* +X92961676Y-140404999D01* +X92985000Y-140404998D01* +X92985000Y-139680001D01* +X93485000Y-139680001D01* +X93485000Y-140404998D01* +X93485001Y-140404999D01* +X93508322Y-140404999D01* +X93607597Y-140394857D01* +X93607609Y-140394854D01* +X93768487Y-140341545D01* +X93912729Y-140252574D01* +X94032574Y-140132729D01* +X94121545Y-139988487D01* +X94174856Y-139827603D01* +X94185000Y-139728323D01* +X94185000Y-139680001D01* +X94184999Y-139680000D01* +X93485001Y-139680000D01* +X93485000Y-139680001D01* +X92985000Y-139680001D01* +X92985000Y-138180001D01* +X93485000Y-138180001D01* +X93485000Y-139179999D01* +X93485001Y-139180000D01* +X94184998Y-139180000D01* +X94184999Y-139179999D01* +X94184999Y-139131678D01* +X94178075Y-139063908D01* +X94190970Y-139004097D01* +X94236558Y-138963288D01* +X94297426Y-138957070D01* +X94326062Y-138968110D01* +X94421810Y-139023390D01* +X94421808Y-139023390D01* +X94421812Y-139023391D01* +X94421814Y-139023392D01* +X94549108Y-139057500D01* +X94549110Y-139057500D01* +X94680890Y-139057500D01* +X94680892Y-139057500D01* +X94808186Y-139023392D01* +X94808188Y-139023390D01* +X94808190Y-139023390D01* +X94922309Y-138957503D01* +X94922309Y-138957502D01* +X94922314Y-138957500D01* +X94993319Y-138886494D01* +X95047834Y-138858719D01* +X95063321Y-138857500D01* +X97751521Y-138857500D01* +X97809712Y-138876407D01* +X97821525Y-138886496D01* +X103164736Y-144229707D01* +X103192513Y-144284224D01* +X103186196Y-144337596D01* +X103129870Y-144473578D01* +X103129870Y-144473580D01* +X103089500Y-144676532D01* +X103089500Y-144883467D01* +X103129869Y-145086418D01* +X103209058Y-145277597D01* +X103324020Y-145449651D01* +X103324023Y-145449655D01* +X103470345Y-145595977D01* +X103642402Y-145710941D01* +X103833580Y-145790130D01* +X104036535Y-145830500D01* +X104036536Y-145830500D01* +X104243464Y-145830500D01* +X104243465Y-145830500D01* +X104446420Y-145790130D01* +X104637598Y-145710941D01* +X104809655Y-145595977D01* +X104955977Y-145449655D01* +X105070941Y-145277598D01* +X105150130Y-145086420D01* +X105190500Y-144883465D01* +X105190500Y-144676535D01* +X105150130Y-144473580D01* +X105070941Y-144282402D01* +X104955977Y-144110345D01* +X104809655Y-143964023D01* +X104794445Y-143953860D01* +X104637597Y-143849058D01* +X104446418Y-143769869D01* +X104243467Y-143729500D01* +X104243465Y-143729500D01* +X104036535Y-143729500D01* +X104036532Y-143729500D01* +X103833580Y-143769870D01* +X103833578Y-143769870D01* +X103697596Y-143826196D01* +X103636600Y-143830997D01* +X103589707Y-143804736D01* +X100855859Y-141070888D01* +X98101511Y-138316540D01* +X98092066Y-138311087D01* +X98032989Y-138276979D01* +X98032988Y-138276978D01* +X98032987Y-138276978D01* +X97956564Y-138256500D01* +X97956562Y-138256500D01* +X95063321Y-138256500D01* +X95005130Y-138237593D01* +X94993323Y-138227509D01* +X94922314Y-138156500D01* +X94922311Y-138156498D01* +X94922309Y-138156496D01* +X94808189Y-138090609D01* +X94808191Y-138090609D01* +X94758799Y-138077375D01* +X94680892Y-138056500D01* +X94549108Y-138056500D01* +X94471200Y-138077375D01* +X94421809Y-138090609D01* +X94307690Y-138156496D01* +X94307680Y-138156504D01* +X94304586Y-138159598D01* +X94250066Y-138187368D01* +X94203584Y-138180000D01* +X93485001Y-138180000D01* +X93485000Y-138180001D01* +X92985000Y-138180001D01* +X92985000Y-136680001D01* +X93485000Y-136680001D01* +X93485000Y-137679999D01* +X93485001Y-137680000D01* +X94184998Y-137680000D01* +X94184999Y-137679999D01* +X94184999Y-137631677D01* +X94174857Y-137532402D01* +X94174854Y-137532390D01* +X94121546Y-137371514D01* +X94035474Y-137231974D01* +X94021017Y-137172521D01* +X94035474Y-137128026D01* +X94121546Y-136988485D01* +X94174856Y-136827603D01* +X94185000Y-136728323D01* +X94185000Y-136680001D01* +X94184999Y-136680000D01* +X93485001Y-136680000D01* +X93485000Y-136680001D01* +X92985000Y-136680001D01* +X92985000Y-135180001D01* +X93485000Y-135180001D01* +X93485000Y-136179999D01* +X93485001Y-136180000D01* +X94184998Y-136180000D01* +X94184999Y-136179999D01* +X94184999Y-136131677D01* +X94174857Y-136032402D01* +X94174854Y-136032390D01* +X94121546Y-135871514D01* +X94035474Y-135731974D01* +X94021017Y-135672521D01* +X94035474Y-135628026D01* +X94121546Y-135488485D01* +X94174856Y-135327603D01* +X94185000Y-135228323D01* +X94185000Y-135180001D01* +X94184999Y-135180000D01* +X93485001Y-135180000D01* +X93485000Y-135180001D01* +X92985000Y-135180001D01* +X92985000Y-133680001D01* +X93485000Y-133680001D01* +X93485000Y-134679999D01* +X93485001Y-134680000D01* +X94184998Y-134680000D01* +X94184999Y-134679999D01* +X94184999Y-134631677D01* +X94174857Y-134532402D01* +X94174854Y-134532390D01* +X94121546Y-134371514D01* +X94035474Y-134231974D01* +X94021017Y-134172521D01* +X94035474Y-134128026D01* +X94121546Y-133988485D01* +X94174856Y-133827603D01* +X94185000Y-133728323D01* +X94185000Y-133680001D01* +X94184999Y-133680000D01* +X93485001Y-133680000D01* +X93485000Y-133680001D01* +X92985000Y-133680001D01* +X92985000Y-131553500D01* +X93003907Y-131495309D01* +X93053407Y-131459345D01* +X93084000Y-131454500D01* +X93386000Y-131454500D01* +X93444191Y-131473407D01* +X93480155Y-131522907D01* +X93485000Y-131553500D01* +X93485000Y-133179999D01* +X93485001Y-133180000D01* +X94184998Y-133180000D01* +X94184999Y-133179999D01* +X94184999Y-133131677D01* +X94174857Y-133032402D01* +X94174854Y-133032390D01* +X94121546Y-132871514D01* +X94035474Y-132731974D01* +X94029930Y-132709178D01* +X94021017Y-132687480D01* +X94022835Y-132680000D01* +X94021017Y-132672521D01* +X94035474Y-132628027D01* +X94105360Y-132514726D01* +X94152001Y-132475125D01* +X94213012Y-132470502D01* +X94259624Y-132496695D01* +X94880504Y-133117575D01* +X94908281Y-133172092D01* +X94909500Y-133187579D01* +X94909500Y-133719674D01* +X94929978Y-133796098D01* +X94937463Y-133809061D01* +X94958266Y-133845093D01* +X94958267Y-133845097D01* +X94958268Y-133845097D01* +X94969538Y-133864618D01* +X94969539Y-133864620D01* +X95193539Y-134088621D01* +X95193540Y-134088621D01* +X95495430Y-134390511D01* +X96275379Y-135170460D01* +X96275381Y-135170461D01* +X96275382Y-135170462D01* +X96275383Y-135170463D01* +X96343898Y-135210020D01* +X96343896Y-135210020D01* +X96343900Y-135210021D01* +X96343902Y-135210022D01* +X96420328Y-135230500D01* +X96499452Y-135230500D01* +X96952641Y-135230500D01* +X97010832Y-135249407D01* +X97046796Y-135298907D01* +X97050004Y-135312275D01* +X97050282Y-135313129D01* +X97111470Y-135433217D01* +X97111472Y-135433220D01* +X97206780Y-135528528D01* +X97326874Y-135589719D01* +X97326878Y-135589719D01* +X97330445Y-135591537D01* +X97346835Y-135607927D01* +X97365593Y-135621556D01* +X97368061Y-135629154D01* +X97373709Y-135634802D01* +X97384500Y-135679747D01* +X97384500Y-135680251D01* +X97365593Y-135738442D01* +X97330446Y-135768460D01* +X97206781Y-135831471D01* +X97111470Y-135926782D01* +X97050283Y-136046867D01* +X97050281Y-136046874D01* +X97034500Y-136146510D01* +X97034500Y-136713489D01* +X97050281Y-136813125D01* +X97050283Y-136813132D01* +X97111470Y-136933217D01* +X97111472Y-136933220D01* +X97206780Y-137028528D01* +X97206782Y-137028529D01* +X97326867Y-137089716D01* +X97326869Y-137089716D01* +X97326874Y-137089719D01* +X97401869Y-137101597D01* +X97426510Y-137105500D01* +X97426512Y-137105500D01* +X97943490Y-137105500D01* +X97964885Y-137102111D01* +X98043126Y-137089719D01* +X98163220Y-137028528D01* +X98258528Y-136933220D01* +X98319719Y-136813126D01* +X98335500Y-136713488D01* +X98335500Y-136146512D01* +X98333150Y-136131677D01* +X98331703Y-136122541D01* +X98319719Y-136046874D01* +X98319716Y-136046869D01* +X98319716Y-136046867D01* +X98258529Y-135926782D01* +X98258528Y-135926780D01* +X98163220Y-135831472D01* +X98160844Y-135830261D01* +X98039554Y-135768460D01* +X98023164Y-135752070D01* +X98004407Y-135738442D01* +X98001938Y-135730843D01* +X97996290Y-135725195D01* +X97985500Y-135680251D01* +X97985500Y-135679747D01* +X98004407Y-135621556D01* +X98039555Y-135591537D01* +X98043121Y-135589719D01* +X98043126Y-135589719D01* +X98163220Y-135528528D01* +X98258528Y-135433220D01* +X98319719Y-135313126D01* +X98334588Y-135219246D01* +X98335500Y-135213489D01* +X98335500Y-134646510D01* +X98323360Y-134569864D01* +X98319719Y-134546874D01* +X98319716Y-134546869D01* +X98319716Y-134546867D01* +X98258529Y-134426782D01* +X98258528Y-134426780D01* +X98163220Y-134331472D01* +X98163217Y-134331470D01* +X98039061Y-134268209D01* +X97995796Y-134224945D01* +X97986225Y-134164513D01* +X98014003Y-134109996D01* +X98039061Y-134091791D01* +X98146147Y-134037227D01* +X98163220Y-134028528D01* +X98258528Y-133933220D01* +X98319719Y-133813126D01* +X98335500Y-133713488D01* +X98335500Y-133146512D01* +X98333150Y-133131677D01* +X98331703Y-133122541D01* +X98319719Y-133046874D01* +X98258528Y-132926780D01* +X98258526Y-132926778D01* +X98257334Y-132924438D01* +X98247762Y-132864006D01* +X98275539Y-132809489D01* +X98293571Y-132795231D01* +X98362731Y-132752572D01* +X98482571Y-132632732D01* +X98561205Y-132505248D01* +X98607847Y-132465647D01* +X98668857Y-132461024D01* +X98715467Y-132487215D01* +X98756780Y-132528528D01* +X98768609Y-132534555D01* +X98880939Y-132591791D01* +X98924203Y-132635056D01* +X98933774Y-132695488D01* +X98905996Y-132750004D01* +X98880939Y-132768209D01* +X98756781Y-132831471D01* +X98661470Y-132926782D01* +X98600283Y-133046867D01* +X98600281Y-133046874D01* +X98586850Y-133131677D01* +X98584500Y-133146512D01* +X98584500Y-133713488D01* +X98600067Y-133811778D01* +X98600281Y-133813125D01* +X98600283Y-133813132D01* +X98661470Y-133933217D01* +X98661472Y-133933220D01* +X98756780Y-134028528D01* +X98773853Y-134037227D01* +X98880939Y-134091791D01* +X98924203Y-134135056D01* +X98933774Y-134195488D01* +X98905996Y-134250004D01* +X98880939Y-134268209D01* +X98756781Y-134331471D01* +X98661470Y-134426782D01* +X98600283Y-134546867D01* +X98600281Y-134546874D01* +X98584500Y-134646510D01* +X98584500Y-135213489D01* +X98600281Y-135313125D01* +X98600283Y-135313132D01* +X98661470Y-135433217D01* +X98661472Y-135433220D01* +X98756780Y-135528528D01* +X98876874Y-135589719D01* +X98876878Y-135589719D01* +X98880445Y-135591537D01* +X98896835Y-135607927D01* +X98915593Y-135621556D01* +X98918061Y-135629154D01* +X98923709Y-135634802D01* +X98934500Y-135679747D01* +X98934500Y-135680251D01* +X98915593Y-135738442D01* +X98880446Y-135768460D01* +X98756781Y-135831471D01* +X98661470Y-135926782D01* +X98600283Y-136046867D01* +X98600281Y-136046874D01* +X98584500Y-136146510D01* +X98584500Y-136713489D01* +X98600281Y-136813125D01* +X98600283Y-136813132D01* +X98661470Y-136933217D01* +X98661472Y-136933220D01* +X98756780Y-137028528D01* +X98756782Y-137028529D01* +X98876867Y-137089716D01* +X98876869Y-137089716D01* +X98876874Y-137089719D01* +X98951869Y-137101597D01* +X98976510Y-137105500D01* +X98976512Y-137105500D01* +X99493490Y-137105500D01* +X99514885Y-137102111D01* +X99593126Y-137089719D01* +X99713220Y-137028528D01* +X99808528Y-136933220D01* +X99869719Y-136813126D01* +X99885500Y-136713488D01* +X99885500Y-136146512D01* +X99883150Y-136131677D01* +X99881703Y-136122541D01* +X99869719Y-136046874D01* +X99869716Y-136046869D01* +X99869716Y-136046867D01* +X99808529Y-135926782D01* +X99808528Y-135926780D01* +X99713220Y-135831472D01* +X99710844Y-135830261D01* +X99589554Y-135768460D01* +X99573164Y-135752070D01* +X99554407Y-135738442D01* +X99551938Y-135730843D01* +X99546290Y-135725195D01* +X99535500Y-135680251D01* +X99535500Y-135679747D01* +X99554407Y-135621556D01* +X99589555Y-135591537D01* +X99593121Y-135589719D01* +X99593126Y-135589719D01* +X99713220Y-135528528D01* +X99808528Y-135433220D01* +X99865169Y-135322054D01* +X99881560Y-135305663D01* +X99895188Y-135286907D01* +X99902785Y-135284438D01* +X99908434Y-135278790D01* +X99953379Y-135268000D01* +X99964201Y-135268000D01* +X100022392Y-135286907D01* +X100052410Y-135322054D01* +X100064810Y-135346390D01* +X100110342Y-135435751D01* +X100204249Y-135529658D01* +X100322580Y-135589951D01* +X100389130Y-135600491D01* +X100420751Y-135605500D01* +X100420754Y-135605500D01* +X100999249Y-135605500D01* +X101027803Y-135600976D01* +X101097420Y-135589951D01* +X101215751Y-135529658D01* +X101309658Y-135435751D01* +X101369951Y-135317420D01* +X101383249Y-135233458D01* +X101385500Y-135219248D01* +X101385500Y-134715751D01* +X101377778Y-134667000D01* +X101369951Y-134617580D01* +X101309658Y-134499249D01* +X101215751Y-134405342D01* +X101186644Y-134390511D01* +X101097423Y-134345050D01* +X101097420Y-134345049D01* +X101072876Y-134341161D01* +X100999249Y-134329500D01* +X100999246Y-134329500D01* +X100420754Y-134329500D01* +X100420751Y-134329500D01* +X100322580Y-134345049D01* +X100322576Y-134345050D01* +X100204250Y-134405341D01* +X100110341Y-134499250D01* +X100059350Y-134599324D01* +X100016085Y-134642588D01* +X99955653Y-134652159D01* +X99901137Y-134624381D01* +X99873360Y-134569864D01* +X99869719Y-134546875D01* +X99869716Y-134546867D01* +X99808529Y-134426782D01* +X99808528Y-134426780D01* +X99713220Y-134331472D01* +X99713217Y-134331470D01* +X99589061Y-134268209D01* +X99545796Y-134224945D01* +X99536225Y-134164513D01* +X99564003Y-134109996D01* +X99589061Y-134091791D01* +X99696147Y-134037227D01* +X99713220Y-134028528D01* +X99808528Y-133933220D01* +X99869719Y-133813126D01* +X99869719Y-133813119D01* +X99872126Y-133805718D01* +X99873204Y-133806068D01* +X99873204Y-133798907D01* +X99886830Y-133780151D01* +X99897351Y-133759501D01* +X99904470Y-133755873D01* +X99909168Y-133749407D01* +X99931215Y-133742243D01* +X99951866Y-133731720D01* +X99967359Y-133730500D01* +X99983308Y-133730500D01* +X100041499Y-133749407D01* +X100071517Y-133784554D01* +X100092987Y-133826691D01* +X100110342Y-133860751D01* +X100204249Y-133954658D01* +X100322580Y-134014951D01* +X100389130Y-134025491D01* +X100420751Y-134030500D01* +X100420754Y-134030500D01* +X100999249Y-134030500D01* +X101027803Y-134025976D01* +X101097420Y-134014951D01* +X101215751Y-133954658D01* +X101309658Y-133860751D01* +X101369951Y-133742420D01* +X101385500Y-133644246D01* +X101385500Y-133140754D01* +X101384062Y-133131677D01* +X101377715Y-133091600D01* +X101369951Y-133042580D01* +X101309658Y-132924249D01* +X101215751Y-132830342D01* +X101097420Y-132770049D01* +X101093809Y-132768209D01* +X101050545Y-132724945D01* +X101040974Y-132664512D01* +X101068752Y-132609996D01* +X101093809Y-132591791D01* +X101132470Y-132572092D01* +X101215751Y-132529658D01* +X101309658Y-132435751D01* +X101369951Y-132317420D01* +X101369951Y-132317415D01* +X101372999Y-132311435D01* +X101416264Y-132268170D01* +X101476696Y-132258599D01* +X101531212Y-132286376D01* +X101549418Y-132311434D01* +X101581806Y-132374998D01* +X101611472Y-132433220D01* +X101706780Y-132528528D01* +X101706782Y-132528529D01* +X101826867Y-132589716D01* +X101826869Y-132589716D01* +X101826874Y-132589719D01* +X101902541Y-132601703D01* +X101926510Y-132605500D01* +X101926512Y-132605500D01* +X102493490Y-132605500D01* +X102514936Y-132602103D01* +X102593126Y-132589719D01* +X102713220Y-132528528D01* +X102808528Y-132433220D01* +X102869719Y-132313126D01* +X102885500Y-132213488D01* +X102885500Y-131696512D01* +X102869719Y-131596874D01* +X102869716Y-131596869D01* +X102869716Y-131596867D01* +X102808529Y-131476782D01* +X102808528Y-131476780D01* +X102713220Y-131381472D01* +X102713217Y-131381470D01* +X102593132Y-131320283D01* +X102593127Y-131320281D01* +X102593126Y-131320281D01* +X102559913Y-131315020D01* +X102493490Y-131304500D01* +X102493488Y-131304500D01* +X101926512Y-131304500D01* +X101926510Y-131304500D01* +X101826874Y-131320281D01* +X101826867Y-131320283D01* +X101706782Y-131381470D01* +X101706780Y-131381472D01* +X101611472Y-131476780D01* +X101550281Y-131596874D01* +X101550280Y-131596875D01* +X101548462Y-131600445D01* +X101532070Y-131616836D01* +X101518443Y-131635593D01* +X101510845Y-131638061D01* +X101505197Y-131643710D01* +X101460252Y-131654500D01* +X101449430Y-131654500D01* +X101391239Y-131635593D01* +X101361221Y-131600446D01* +X101317622Y-131514880D01* +X101309658Y-131499249D01* +X101215751Y-131405342D01* +X101151849Y-131372782D01* +X101097423Y-131345050D01* +X101097420Y-131345049D01* +X101072876Y-131341161D01* +X100999249Y-131329500D01* +X100999246Y-131329500D01* +X100420754Y-131329500D01* +X100420751Y-131329500D01* +X100322580Y-131345049D01* +X100322576Y-131345050D01* +X100204250Y-131405341D01* +X100110341Y-131499250D01* +X100071517Y-131575446D01* +X100055127Y-131591835D01* +X100041499Y-131610593D01* +X100033900Y-131613061D01* +X100028252Y-131618710D01* +X99983308Y-131629500D01* +X99967359Y-131629500D01* +X99909168Y-131610593D01* +X99873204Y-131561093D01* +X99869995Y-131547724D01* +X99869719Y-131546878D01* +X99869719Y-131546874D01* +X99808528Y-131426780D01* +X99713220Y-131331472D01* +X99713217Y-131331470D01* +X99589061Y-131268209D01* +X99545796Y-131224945D01* +X99536225Y-131164513D01* +X99564003Y-131109996D01* +X99589061Y-131091791D01* +X99703116Y-131033676D01* +X99713220Y-131028528D01* +X99808528Y-130933220D01* +X99869719Y-130813126D01* +X99873360Y-130790133D01* +X99901137Y-130735618D01* +X99955653Y-130707840D01* +X100016085Y-130717411D01* +X100059350Y-130760675D01* +X100086075Y-130813125D01* +X100110342Y-130860751D01* +X100204249Y-130954658D01* +X100285375Y-130995994D01* +X100322446Y-131014883D01* +X100322580Y-131014951D01* +X100389130Y-131025491D01* +X100420751Y-131030500D01* +X100420754Y-131030500D01* +X100999249Y-131030500D01* +X101027803Y-131025976D01* +X101097420Y-131014951D01* +X101215751Y-130954658D01* +X101309658Y-130860751D01* +X101350775Y-130780052D01* +X101361221Y-130759554D01* +X101377610Y-130743164D01* +X101391239Y-130724407D01* +X101398837Y-130721938D01* +X101404486Y-130716290D01* +X101449430Y-130705500D01* +X101460252Y-130705500D01* +X101518443Y-130724407D01* +X101548462Y-130759555D01* +X101550280Y-130763124D01* +X101550281Y-130763126D01* +X101611472Y-130883220D01* +X101706780Y-130978528D01* +X101706782Y-130978529D01* +X101826867Y-131039716D01* +X101826869Y-131039716D01* +X101826874Y-131039719D01* +X101902541Y-131051703D01* +X101926510Y-131055500D01* +X101926512Y-131055500D01* +X102493490Y-131055500D01* +X102514885Y-131052111D01* +X102593126Y-131039719D01* +X102713220Y-130978528D01* +X102808528Y-130883220D01* +X102869719Y-130763126D01* +X102885500Y-130663488D01* +X102885500Y-130146512D01* +X102869719Y-130046874D01* +X102869716Y-130046869D01* +X102869716Y-130046867D01* +X102808529Y-129926782D01* +X102808528Y-129926780D01* +X102713220Y-129831472D01* +X102709810Y-129829735D01* +X102597496Y-129772507D01* +X102554232Y-129729242D01* +X102544661Y-129668810D01* +X102572439Y-129614294D01* +X102603812Y-129595070D01* +X102603361Y-129594184D01* +X102633948Y-129578598D01* +X102723342Y-129533050D01* +X102813050Y-129443342D01* +X102870646Y-129330304D01* +X102883380Y-129249907D01* +X102885500Y-129236521D01* +X102885500Y-129155001D01* +X104215001Y-129155001D01* +X104215001Y-129364658D01* +X104230571Y-129482935D01* +X104230572Y-129482936D01* +X104291531Y-129630107D01* +X104291533Y-129630111D01* +X104388508Y-129756490D01* +X104388509Y-129756491D01* +X104514888Y-129853466D01* +X104514892Y-129853468D01* +X104662058Y-129914426D01* +X104662066Y-129914428D01* +X104780343Y-129929999D01* +X105564998Y-129929999D01* +X105565000Y-129929998D01* +X105565000Y-129155001D01* +X106065000Y-129155001D01* +X106065000Y-129929998D01* +X106065001Y-129929999D01* +X106849654Y-129929999D01* +X106849658Y-129929998D01* +X106967935Y-129914428D01* +X106967936Y-129914427D01* +X107115107Y-129853468D01* +X107115111Y-129853466D01* +X107241490Y-129756491D01* +X107241491Y-129756490D01* +X107338466Y-129630111D01* +X107338468Y-129630107D01* +X107399426Y-129482941D01* +X107399428Y-129482933D01* +X107414999Y-129364657D01* +X107415000Y-129364656D01* +X107415000Y-129155001D01* +X107414999Y-129155000D01* +X106065001Y-129155000D01* +X106065000Y-129155001D01* +X105565000Y-129155001D01* +X105564999Y-129155000D01* +X104215002Y-129155000D01* +X104215001Y-129155001D01* +X102885500Y-129155001D01* +X102885500Y-129113993D01* +X102885499Y-128623479D01* +X102885498Y-128623476D01* +X102870647Y-128529700D01* +X102870646Y-128529698D01* +X102870646Y-128529696D01* +X102813050Y-128416658D01* +X102723342Y-128326950D01* +X102710783Y-128320551D01* +X102639554Y-128284257D01* +X102623164Y-128267867D01* +X102604407Y-128254239D01* +X102601938Y-128246640D01* +X102596290Y-128240992D01* +X102585500Y-128196048D01* +X102585500Y-128154271D01* +X102604407Y-128096080D01* +X102639551Y-128066064D01* +X102713220Y-128028528D01* +X102808528Y-127933220D01* +X102869719Y-127813126D01* +X102869719Y-127813124D01* +X102871538Y-127809555D01* +X102914803Y-127766290D01* +X102959748Y-127755500D01* +X103515501Y-127755500D01* +X103573692Y-127774407D01* +X103609656Y-127823907D01* +X103614501Y-127854500D01* +X103614501Y-127874863D01* +X103617414Y-127899990D01* +X103632087Y-127933220D01* +X103662794Y-128002765D01* +X103742235Y-128082206D01* +X103845009Y-128127585D01* +X103870135Y-128130500D01* +X104163829Y-128130499D01* +X104222017Y-128149406D01* +X104257981Y-128198906D01* +X104257982Y-128260091D01* +X104255291Y-128267384D01* +X104230573Y-128327057D01* +X104230571Y-128327066D01* +X104215000Y-128445342D01* +X104215000Y-128654999D01* +X104215001Y-128655000D01* +X105564999Y-128655000D01* +X105565000Y-128654999D01* +X105565000Y-127880001D01* +X106065000Y-127880001D01* +X106065000Y-128654999D01* +X106065001Y-128655000D01* +X107414998Y-128655000D01* +X107414999Y-128654999D01* +X107414999Y-128528999D01* +X107433906Y-128470808D01* +X107483406Y-128434844D01* +X107513999Y-128429999D01* +X107754320Y-128429999D01* +X107754324Y-128429998D01* +X107871629Y-128414556D01* +X107871631Y-128414555D01* +X108017585Y-128354100D01* +X108017589Y-128354098D01* +X108142919Y-128257929D01* +X108142929Y-128257919D01* +X108239098Y-128132589D01* +X108239100Y-128132585D01* +X108299555Y-127986631D01* +X108299556Y-127986630D01* +X108314999Y-127869323D01* +X108315000Y-127869322D01* +X108315000Y-127680001D01* +X108314999Y-127680000D01* +X106315002Y-127680000D01* +X106315001Y-127680001D01* +X106315001Y-127781000D01* +X106296094Y-127839191D01* +X106246594Y-127875155D01* +X106216001Y-127880000D01* +X106065001Y-127880000D01* +X106065000Y-127880001D01* +X105565000Y-127880001D01* +X105564999Y-127880000D01* +X105114500Y-127880000D01* +X105056309Y-127861093D01* +X105020345Y-127811593D01* +X105015500Y-127781000D01* +X105015499Y-127078999D01* +X105034406Y-127020808D01* +X105083906Y-126984844D01* +X105114499Y-126979999D01* +X105564999Y-126979999D01* +X105565000Y-126979998D01* +X105565000Y-126205001D01* +X106065000Y-126205001D01* +X106065000Y-126979998D01* +X106065001Y-126979999D01* +X106216000Y-126979999D01* +X106274191Y-126998906D01* +X106310155Y-127048406D01* +X106315000Y-127078999D01* +X106315000Y-127179999D01* +X106315001Y-127180000D01* +X108314998Y-127180000D01* +X108314999Y-127179999D01* +X108314999Y-126990680D01* +X108314998Y-126990675D01* +X108299556Y-126873370D01* +X108299555Y-126873368D01* +X108239100Y-126727414D01* +X108239098Y-126727410D01* +X108142929Y-126602080D01* +X108142919Y-126602070D01* +X108017589Y-126505901D01* +X108017585Y-126505899D01* +X107871631Y-126445444D01* +X107871630Y-126445443D01* +X107754323Y-126430000D01* +X107514000Y-126430000D01* +X107455809Y-126411093D01* +X107419845Y-126361593D01* +X107415000Y-126331000D01* +X107415000Y-126205001D01* +X107414999Y-126205000D01* +X106065001Y-126205000D01* +X106065000Y-126205001D01* +X105565000Y-126205001D01* +X105564999Y-126205000D01* +X104215002Y-126205000D01* +X104215001Y-126205001D01* +X104215001Y-126414658D01* +X104230571Y-126532935D01* +X104230572Y-126532937D01* +X104255291Y-126592615D01* +X104260091Y-126653612D01* +X104228122Y-126705781D01* +X104171594Y-126729195D01* +X104163827Y-126729500D01* +X103870139Y-126729500D01* +X103870136Y-126729501D01* +X103845009Y-126732414D01* +X103742235Y-126777794D01* +X103662794Y-126857235D01* +X103617414Y-126960011D01* +X103614500Y-126985130D01* +X103614500Y-127055500D01* +X103595593Y-127113691D01* +X103546093Y-127149655D01* +X103515500Y-127154500D01* +X102959748Y-127154500D01* +X102901557Y-127135593D01* +X102871538Y-127100445D01* +X102869719Y-127096875D01* +X102869719Y-127096874D01* +X102808528Y-126976780D01* +X102713220Y-126881472D01* +X102713217Y-126881470D01* +X102593132Y-126820283D01* +X102593127Y-126820281D01* +X102593126Y-126820281D01* +X102559913Y-126815020D01* +X102493490Y-126804500D01* +X102493488Y-126804500D01* +X101926512Y-126804500D01* +X101926510Y-126804500D01* +X101826874Y-126820281D01* +X101826867Y-126820283D01* +X101706782Y-126881470D01* +X101706780Y-126881472D01* +X101611472Y-126976780D01* +X101550281Y-127096874D01* +X101550280Y-127096875D01* +X101548462Y-127100445D01* +X101532070Y-127116836D01* +X101518443Y-127135593D01* +X101510845Y-127138061D01* +X101505197Y-127143710D01* +X101460252Y-127154500D01* +X101459748Y-127154500D01* +X101401557Y-127135593D01* +X101371538Y-127100445D01* +X101369719Y-127096875D01* +X101369719Y-127096874D01* +X101308528Y-126976780D01* +X101213220Y-126881472D01* +X101213217Y-126881470D01* +X101093132Y-126820283D01* +X101093127Y-126820281D01* +X101093126Y-126820281D01* +X101059913Y-126815020D01* +X100993490Y-126804500D01* +X100993488Y-126804500D01* +X100426512Y-126804500D01* +X100426510Y-126804500D01* +X100326874Y-126820281D01* +X100326867Y-126820283D01* +X100206782Y-126881470D01* +X100111471Y-126976781D01* +X100056903Y-127083876D01* +X100013638Y-127127140D01* +X99953206Y-127136711D01* +X99898690Y-127108933D01* +X99870913Y-127054417D01* +X99869719Y-127046874D01* +X99869717Y-127046870D01* +X99869716Y-127046867D01* +X99808529Y-126926782D01* +X99808528Y-126926780D01* +X99713220Y-126831472D01* +X99699843Y-126824656D01* +X99597496Y-126772507D01* +X99554232Y-126729242D01* +X99544661Y-126668810D01* +X99572439Y-126614294D01* +X99603812Y-126595070D01* +X99603361Y-126594184D01* +X99637894Y-126576588D01* +X99723342Y-126533050D01* +X99813050Y-126443342D01* +X99870646Y-126330304D01* +X99873226Y-126314013D01* +X99876852Y-126306897D01* +X99876852Y-126298907D01* +X99890480Y-126280148D01* +X99901003Y-126259497D01* +X99908119Y-126255870D01* +X99912816Y-126249407D01* +X99934865Y-126242242D01* +X99955519Y-126231719D01* +X99971007Y-126230500D01* +X99972990Y-126230500D01* +X100031181Y-126249407D01* +X100061199Y-126284555D01* +X100105247Y-126371003D01* +X100111472Y-126383220D01* +X100206780Y-126478528D01* +X100206782Y-126478529D01* +X100326867Y-126539716D01* +X100326869Y-126539716D01* +X100326874Y-126539719D01* +X100402541Y-126551703D01* +X100426510Y-126555500D01* +X100426512Y-126555500D01* +X100993490Y-126555500D01* +X101014885Y-126552111D01* +X101093126Y-126539719D01* +X101213220Y-126478528D01* +X101308528Y-126383220D01* +X101369719Y-126263126D01* +X101369719Y-126263124D01* +X101371538Y-126259555D01* +X101387929Y-126243163D01* +X101401557Y-126224407D01* +X101409154Y-126221938D01* +X101414803Y-126216290D01* +X101459748Y-126205500D01* +X101460252Y-126205500D01* +X101518443Y-126224407D01* +X101548462Y-126259555D01* +X101550280Y-126263124D01* +X101550281Y-126263126D01* +X101611472Y-126383220D01* +X101706780Y-126478528D01* +X101706782Y-126478529D01* +X101826867Y-126539716D01* +X101826869Y-126539716D01* +X101826874Y-126539719D01* +X101902541Y-126551703D01* +X101926510Y-126555500D01* +X101926512Y-126555500D01* +X102493490Y-126555500D01* +X102514885Y-126552111D01* +X102593126Y-126539719D01* +X102713220Y-126478528D01* +X102808528Y-126383220D01* +X102869719Y-126263126D01* +X102883601Y-126175473D01* +X102885500Y-126163489D01* +X102885500Y-125646510D01* +X102878846Y-125604500D01* +X102869719Y-125546874D01* +X102869717Y-125546870D01* +X102869717Y-125546869D01* +X102864713Y-125537048D01* +X102843463Y-125495342D01* +X104215000Y-125495342D01* +X104215000Y-125704999D01* +X104215001Y-125705000D01* +X105564999Y-125705000D01* +X105565000Y-125704999D01* +X105565000Y-124930001D01* +X106065000Y-124930001D01* +X106065000Y-125704999D01* +X106065001Y-125705000D01* +X107414998Y-125705000D01* +X107414999Y-125704999D01* +X107414999Y-125495346D01* +X107414998Y-125495341D01* +X107399428Y-125377064D01* +X107399427Y-125377063D01* +X107338468Y-125229892D01* +X107338466Y-125229888D01* +X107241491Y-125103509D01* +X107241490Y-125103508D01* +X107115111Y-125006533D01* +X107115107Y-125006531D01* +X106967941Y-124945573D01* +X106967933Y-124945571D01* +X106849657Y-124930000D01* +X106065001Y-124930000D01* +X106065000Y-124930001D01* +X105565000Y-124930001D01* +X105564999Y-124930000D01* +X104780346Y-124930000D01* +X104780341Y-124930001D01* +X104662064Y-124945571D01* +X104662063Y-124945572D01* +X104514892Y-125006531D01* +X104514888Y-125006533D01* +X104388509Y-125103508D01* +X104388508Y-125103509D01* +X104291533Y-125229888D01* +X104291531Y-125229892D01* +X104230573Y-125377058D01* +X104230571Y-125377066D01* +X104215000Y-125495342D01* +X102843463Y-125495342D01* +X102832286Y-125473407D01* +X102808528Y-125426780D01* +X102808526Y-125426778D01* +X102807334Y-125424438D01* +X102797762Y-125364006D01* +X102825539Y-125309489D01* +X102843571Y-125295231D01* +X102912731Y-125252572D01* +X103032574Y-125132729D01* +X103121545Y-124988487D01* +X103174856Y-124827603D01* +X103185000Y-124728323D01* +X103185000Y-124680001D01* +X103184999Y-124680000D01* +X102334000Y-124680000D01* +X102275809Y-124661093D01* +X102239845Y-124611593D01* +X102235000Y-124581000D01* +X102235000Y-124279000D01* +X102253907Y-124220809D01* +X102303407Y-124184845D01* +X102334000Y-124180000D01* +X103184998Y-124180000D01* +X103184999Y-124179999D01* +X103184999Y-124131677D01* +X103177785Y-124061061D01* +X103190680Y-124001250D01* +X103236269Y-123960442D01* +X103276272Y-123952000D01* +X108620500Y-123952000D01* +X108678691Y-123970907D01* +X108714655Y-124020407D01* +X108719500Y-124051000D01* +X108719500Y-149611678D01* +X108700593Y-149669869D01* +X108690504Y-149681682D01* +X106501682Y-151870504D01* +X106447165Y-151898281D01* +X106431678Y-151899500D01* +X78988322Y-151899500D01* +X78930131Y-151880593D01* +X78918318Y-151870504D01* +X76729496Y-149681682D01* +X76701719Y-149627165D01* +X76700500Y-149611678D01* +X76700500Y-146450253D01* +X80229500Y-146450253D01* +X80229500Y-148189746D01* +X80229501Y-148189758D01* +X80241132Y-148248227D01* +X80241134Y-148248233D01* +X80285445Y-148314548D01* +X80285448Y-148314552D01* +X80351769Y-148358867D01* +X80396231Y-148367711D01* +X80410241Y-148370498D01* +X80410246Y-148370498D01* +X80410252Y-148370500D01* +X80410253Y-148370500D01* +X82149747Y-148370500D01* +X82149748Y-148370500D01* +X82208231Y-148358867D01* +X82274552Y-148314552D01* +X82318867Y-148248231D01* +X82330500Y-148189748D01* +X82330500Y-147216532D01* +X82769500Y-147216532D01* +X82769500Y-147423467D01* +X82809869Y-147626418D01* +X82889058Y-147817597D01* +X83004020Y-147989651D01* +X83004023Y-147989655D01* +X83150345Y-148135977D01* +X83322402Y-148250941D01* +X83513580Y-148330130D01* +X83716535Y-148370500D01* +X83716536Y-148370500D01* +X83923464Y-148370500D01* +X83923465Y-148370500D01* +X84126420Y-148330130D01* +X84317598Y-148250941D01* +X84489655Y-148135977D01* +X84635977Y-147989655D01* +X84750941Y-147817598D01* +X84830130Y-147626420D01* +X84870500Y-147423465D01* +X84870500Y-147216535D01* +X84870499Y-147216532D01* +X85309500Y-147216532D01* +X85309500Y-147423467D01* +X85349869Y-147626418D01* +X85429058Y-147817597D01* +X85544020Y-147989651D01* +X85544023Y-147989655D01* +X85690345Y-148135977D01* +X85862402Y-148250941D01* +X86053580Y-148330130D01* +X86256535Y-148370500D01* +X86256536Y-148370500D01* +X86463464Y-148370500D01* +X86463465Y-148370500D01* +X86666420Y-148330130D01* +X86857598Y-148250941D01* +X87029655Y-148135977D01* +X87175977Y-147989655D01* +X87290941Y-147817598D01* +X87370130Y-147626420D01* +X87410500Y-147423465D01* +X87410500Y-147216535D01* +X87370130Y-147013580D01* +X87290941Y-146822402D01* +X87175977Y-146650345D01* +X87029655Y-146504023D01* +X86949182Y-146450253D01* +X86857597Y-146389058D01* +X86666418Y-146309869D01* +X86463467Y-146269500D01* +X86463465Y-146269500D01* +X86256535Y-146269500D01* +X86256532Y-146269500D01* +X86053581Y-146309869D01* +X85862402Y-146389058D01* +X85690348Y-146504020D01* +X85544020Y-146650348D01* +X85429058Y-146822402D01* +X85349869Y-147013581D01* +X85309500Y-147216532D01* +X84870499Y-147216532D01* +X84830130Y-147013580D01* +X84750941Y-146822402D01* +X84635977Y-146650345D01* +X84489655Y-146504023D01* +X84409182Y-146450253D01* +X84317597Y-146389058D01* +X84126418Y-146309869D01* +X83923467Y-146269500D01* +X83923465Y-146269500D01* +X83716535Y-146269500D01* +X83716532Y-146269500D01* +X83513581Y-146309869D01* +X83322402Y-146389058D01* +X83150348Y-146504020D01* +X83004020Y-146650348D01* +X82889058Y-146822402D01* +X82809869Y-147013581D01* +X82769500Y-147216532D01* +X82330500Y-147216532D01* +X82330500Y-146450252D01* +X82318867Y-146391769D01* +X82274552Y-146325448D01* +X82274548Y-146325445D01* +X82208233Y-146281134D01* +X82208231Y-146281133D01* +X82208228Y-146281132D01* +X82208227Y-146281132D01* +X82149758Y-146269501D01* +X82149748Y-146269500D01* +X80410252Y-146269500D01* +X80410251Y-146269500D01* +X80410241Y-146269501D01* +X80351772Y-146281132D01* +X80351766Y-146281134D01* +X80285451Y-146325445D01* +X80285445Y-146325451D01* +X80241134Y-146391766D01* +X80241132Y-146391772D01* +X80229501Y-146450241D01* +X80229500Y-146450253D01* +X76700500Y-146450253D01* +X76700500Y-124051000D01* +X76719407Y-123992809D01* +X76768907Y-123956845D01* +X76799500Y-123952000D01* +X96643728Y-123952000D01* +X96701919Y-123970907D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X90087682Y-135117710D02* +G01* +X90550504Y-135580532D01* +X90578281Y-135635049D01* +X90579500Y-135650536D01* +X90579500Y-136889672D01* +X90597005Y-136955000D01* +X90599979Y-136966099D01* +X90603962Y-136972999D01* +X90603965Y-136973003D01* +X90607139Y-136978500D01* +X90639540Y-137034621D01* +X90687814Y-137082895D01* +X90691833Y-137088162D01* +X90699879Y-137111082D01* +X90710908Y-137132728D01* +X90709841Y-137139460D01* +X90712100Y-137145893D01* +X90705137Y-137169164D01* +X90701337Y-137193160D01* +X90696515Y-137197981D01* +X90694562Y-137204511D01* +X90675253Y-137219243D01* +X90658072Y-137236425D01* +X90651337Y-137237491D01* +X90645919Y-137241626D01* +X90621635Y-137242195D01* +X90597640Y-137245996D01* +X90493491Y-137229500D01* +X90493488Y-137229500D01* +X89926512Y-137229500D01* +X89926510Y-137229500D01* +X89826874Y-137245281D01* +X89826867Y-137245283D01* +X89706782Y-137306470D01* +X89706780Y-137306472D01* +X89611472Y-137401780D01* +X89550281Y-137521874D01* +X89550280Y-137521875D01* +X89548462Y-137525445D01* +X89532070Y-137541836D01* +X89518443Y-137560593D01* +X89510845Y-137563061D01* +X89505197Y-137568710D01* +X89460252Y-137579500D01* +X89309500Y-137579500D01* +X89251309Y-137560593D01* +X89215345Y-137511093D01* +X89210500Y-137480500D01* +X89210500Y-137210253D01* +X89210498Y-137210241D01* +X89202995Y-137172521D01* +X89198867Y-137151769D01* +X89194941Y-137145893D01* +X89178184Y-137120814D01* +X89161500Y-137065814D01* +X89161500Y-135481833D01* +X89180407Y-135423642D01* +X89229907Y-135387678D01* +X89286123Y-135386206D01* +X89286811Y-135386390D01* +X89286814Y-135386392D01* +X89414108Y-135420500D01* +X89414110Y-135420500D01* +X89545890Y-135420500D01* +X89545892Y-135420500D01* +X89673186Y-135386392D01* +X89673188Y-135386390D01* +X89673190Y-135386390D01* +X89787309Y-135320503D01* +X89787309Y-135320502D01* +X89787314Y-135320500D01* +X89880500Y-135227314D01* +X89931943Y-135138212D01* +X89977411Y-135097273D01* +X90038261Y-135090877D01* +X90087682Y-135117710D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X86605445Y-134697066D02* +G01* +X86648710Y-134740331D01* +X86659500Y-134785276D01* +X86659500Y-134985387D01* +X86640593Y-135043578D01* +X86615502Y-135067702D01* +X86513458Y-135135886D01* +X86513454Y-135135889D01* +X86415885Y-135233458D01* +X86339228Y-135348182D01* +X86339222Y-135348193D01* +X86286420Y-135475670D01* +X86286420Y-135475672D01* +X86259500Y-135611004D01* +X86259500Y-135748995D01* +X86286420Y-135884327D01* +X86286420Y-135884329D01* +X86339222Y-136011806D01* +X86339228Y-136011817D01* +X86415885Y-136126541D01* +X86513458Y-136224114D01* +X86628182Y-136300771D01* +X86628193Y-136300777D01* +X86675283Y-136320282D01* +X86755672Y-136353580D01* +X86891007Y-136380500D01* +X86891008Y-136380500D01* +X87028992Y-136380500D01* +X87028993Y-136380500D01* +X87164328Y-136353580D01* +X87291811Y-136300775D01* +X87406542Y-136224114D01* +X87504114Y-136126542D01* +X87580775Y-136011811D01* +X87633580Y-135884328D01* +X87660500Y-135748993D01* +X87660500Y-135611007D01* +X87635837Y-135487019D01* +X87643029Y-135426260D01* +X87684561Y-135381330D01* +X87707306Y-135372082D01* +X87803186Y-135346392D01* +X87917314Y-135280500D01* +X87990498Y-135207315D01* +X88045013Y-135179540D01* +X88105445Y-135189111D01* +X88148710Y-135232376D01* +X88159500Y-135277321D01* +X88159500Y-135913521D01* +X88140593Y-135971712D01* +X88130504Y-135983525D01* +X87514525Y-136599504D01* +X87460008Y-136627281D01* +X87444521Y-136628500D01* +X87370438Y-136628500D01* +X87323661Y-136641033D01* +X87294007Y-136648979D01* +X87225493Y-136688536D01* +X87225488Y-136688540D01* +X86969542Y-136944486D01* +X86946585Y-136984248D01* +X86901114Y-137025188D01* +X86841537Y-137031844D01* +X86829756Y-137029500D01* +X86829748Y-137029500D01* +X85390252Y-137029500D01* +X85390251Y-137029500D01* +X85390241Y-137029501D01* +X85331772Y-137041132D01* +X85331766Y-137041134D01* +X85265451Y-137085445D01* +X85265445Y-137085451D01* +X85221134Y-137151766D01* +X85221132Y-137151772D01* +X85212932Y-137192994D01* +X85183035Y-137246377D01* +X85127469Y-137271992D01* +X85067460Y-137260054D01* +X85037150Y-137230994D01* +X85036146Y-137231788D01* +X85032573Y-137227269D01* +X84912729Y-137107425D01* +X84768487Y-137018454D01* +X84607603Y-136965143D01* +X84508323Y-136955000D01* +X84489776Y-136955000D01* +X84431585Y-136936093D01* +X84395621Y-136886593D01* +X84395621Y-136825407D01* +X84419770Y-136785998D01* +X86490498Y-134715270D01* +X86545013Y-134687495D01* +X86605445Y-134697066D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X86050291Y-133309418D02* +G01* +X86082263Y-133361585D01* +X86085000Y-133384702D01* +X86085000Y-133768500D01* +X86080155Y-133783411D01* +X86080155Y-133799093D01* +X86070938Y-133811778D01* +X86066093Y-133826691D01* +X86053407Y-133835907D01* +X86044191Y-133848593D01* +X86029278Y-133853438D01* +X86016593Y-133862655D01* +X85986000Y-133867500D01* +X85960000Y-133867500D01* +X85960000Y-133893500D01* +X85941093Y-133951691D01* +X85891593Y-133987655D01* +X85861000Y-133992500D01* +X85335001Y-133992500D01* +X85335000Y-133992501D01* +X85335000Y-134279371D01* +X85349477Y-134389338D01* +X85349479Y-134389346D01* +X85406155Y-134526174D01* +X85406155Y-134526175D01* +X85496315Y-134643674D01* +X85496320Y-134643679D01* +X85528879Y-134668662D01* +X85563535Y-134719087D01* +X85561934Y-134780251D01* +X85538616Y-134817209D01* +X83354504Y-137001322D01* +X83299987Y-137029099D01* +X83239555Y-137019528D01* +X83196290Y-136976263D01* +X83185500Y-136931318D01* +X83185500Y-135480478D01* +X83204407Y-135422287D01* +X83214490Y-135410480D01* +X85090475Y-133534494D01* +X85097592Y-133530868D01* +X85102288Y-133524406D01* +X85124336Y-133517242D01* +X85144992Y-133506718D01* +X85160479Y-133505499D01* +X85236000Y-133505499D01* +X85294191Y-133524406D01* +X85330155Y-133573906D01* +X85335000Y-133604499D01* +X85335000Y-133742499D01* +X85335001Y-133742500D01* +X85835000Y-133742500D01* +X85835000Y-133465304D01* +X85851685Y-133410302D01* +X85900658Y-133337009D01* +X85902094Y-133337968D01* +X85934268Y-133300294D01* +X85993762Y-133286007D01* +X86050291Y-133309418D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X86578741Y-126225202D02* +G01* +X86590090Y-126234951D01* +X86630504Y-126275365D01* +X86658281Y-126329882D01* +X86659500Y-126345369D01* +X86659500Y-127955294D01* +X86640593Y-128013485D01* +X86614902Y-128032150D01* +X86585000Y-128058374D01* +X86585000Y-129926625D01* +X86669339Y-129915522D01* +X86669346Y-129915520D01* +X86806174Y-129858844D01* +X86806175Y-129858844D01* +X86923674Y-129768684D01* +X86923683Y-129768675D01* +X87003659Y-129664448D01* +X87047949Y-129631829D01* +X87055251Y-129629136D01* +X87125117Y-129615240D01* +X87164748Y-129588759D01* +X87175748Y-129584703D01* +X87195173Y-129583942D01* +X87213886Y-129578665D01* +X87226370Y-129582721D01* +X87236887Y-129582310D01* +X87248034Y-129589760D01* +X87265002Y-129595274D01* +X87294879Y-129615238D01* +X87294880Y-129615238D01* +X87294883Y-129615240D01* +X87371599Y-129630500D01* +X87548400Y-129630499D01* +X87625117Y-129615240D01* +X87654998Y-129595273D01* +X87713886Y-129578665D01* +X87765002Y-129595274D01* +X87794879Y-129615238D01* +X87794880Y-129615238D01* +X87794883Y-129615240D01* +X87871599Y-129630500D01* +X88048400Y-129630499D01* +X88125117Y-129615240D01* +X88154998Y-129595273D01* +X88213886Y-129578665D01* +X88244252Y-129584703D01* +X88255251Y-129588759D01* +X88294883Y-129615240D01* +X88364749Y-129629137D01* +X88372051Y-129631830D01* +X88390263Y-129646183D01* +X88410497Y-129657515D01* +X88416341Y-129664449D01* +X88496315Y-129768674D01* +X88496325Y-129768684D01* +X88613825Y-129858844D01* +X88750653Y-129915520D01* +X88750660Y-129915522D01* +X88834999Y-129926625D01* +X88835000Y-129926624D01* +X88835000Y-129091500D01* +X88839845Y-129076588D01* +X88839845Y-129060907D01* +X88849061Y-129048221D01* +X88853907Y-129033309D01* +X88866592Y-129024092D01* +X88875809Y-129011407D01* +X88890721Y-129006561D01* +X88903407Y-128997345D01* +X88934000Y-128992500D01* +X88986000Y-128992500D01* +X89044191Y-129011407D01* +X89080155Y-129060907D01* +X89085000Y-129091500D01* +X89085000Y-129926624D01* +X89104920Y-129924002D01* +X89165081Y-129935152D01* +X89207199Y-129979534D01* +X89215996Y-130035076D01* +X89213373Y-130054999D01* +X89213374Y-130055000D01* +X91081626Y-130055000D01* +X91096668Y-130037846D01* +X91097705Y-130032258D01* +X91142089Y-129990142D01* +X91184706Y-129980500D01* +X93794521Y-129980500D01* +X93852712Y-129999407D01* +X93864525Y-130009496D01* +X94380504Y-130525475D01* +X94408281Y-130579992D01* +X94409500Y-130595479D01* +X94409500Y-130939521D01* +X94390593Y-130997712D01* +X94341093Y-131033676D01* +X94279907Y-131033676D01* +X94240497Y-131009525D01* +X94144510Y-130913539D01* +X94075992Y-130873980D01* +X94075988Y-130873978D01* +X93999564Y-130853500D01* +X93999562Y-130853500D01* +X91169016Y-130853500D01* +X91110825Y-130834593D01* +X91094584Y-130819776D01* +X91081626Y-130805000D01* +X89213374Y-130805000D01* +X89224478Y-130889342D01* +X89281155Y-131026174D01* +X89281155Y-131026175D01* +X89371315Y-131143674D01* +X89371325Y-131143684D01* +X89475551Y-131223659D01* +X89508170Y-131267949D01* +X89510864Y-131275257D01* +X89524760Y-131345117D01* +X89551240Y-131384747D01* +X89555296Y-131395747D01* +X89556056Y-131415173D01* +X89561334Y-131433887D01* +X89557277Y-131446371D01* +X89557689Y-131456885D01* +X89550241Y-131468028D01* +X89544727Y-131484999D01* +X89524761Y-131514880D01* +X89524759Y-131514886D01* +X89509501Y-131591589D01* +X89509500Y-131591599D01* +X89509500Y-131768397D01* +X89509501Y-131768404D01* +X89524759Y-131845115D01* +X89524759Y-131845116D01* +X89524760Y-131845117D01* +X89544726Y-131874999D01* +X89561334Y-131933887D01* +X89544727Y-131984999D01* +X89524761Y-132014880D01* +X89524759Y-132014886D01* +X89509501Y-132091589D01* +X89509500Y-132091599D01* +X89509500Y-132268397D01* +X89509501Y-132268404D01* +X89524759Y-132345115D01* +X89524759Y-132345116D01* +X89524760Y-132345117D01* +X89544726Y-132374999D01* +X89561334Y-132433887D01* +X89544727Y-132484999D01* +X89524761Y-132514880D01* +X89524759Y-132514886D01* +X89509501Y-132591589D01* +X89509500Y-132591599D01* +X89509500Y-132768397D01* +X89509501Y-132768404D01* +X89524759Y-132845115D01* +X89524759Y-132845116D01* +X89524760Y-132845117D01* +X89544726Y-132874999D01* +X89561334Y-132933887D01* +X89544727Y-132984999D01* +X89524761Y-133014880D01* +X89524759Y-133014886D01* +X89509501Y-133091588D01* +X89509500Y-133091600D01* +X89509500Y-133130500D01* +X89490593Y-133188691D01* +X89441093Y-133224655D01* +X89410502Y-133229500D01* +X89371603Y-133229500D01* +X89371595Y-133229501D01* +X89294884Y-133244759D01* +X89265000Y-133264727D01* +X89206111Y-133281334D01* +X89154999Y-133264726D01* +X89125119Y-133244761D01* +X89125117Y-133244760D01* +X89125114Y-133244759D01* +X89125113Y-133244759D01* +X89048410Y-133229501D01* +X89048402Y-133229500D01* +X89048401Y-133229500D01* +X89048400Y-133229500D01* +X88871602Y-133229500D01* +X88871595Y-133229501D01* +X88794884Y-133244759D01* +X88765000Y-133264727D01* +X88706111Y-133281334D01* +X88675748Y-133275296D01* +X88664746Y-133271239D01* +X88625117Y-133244760D01* +X88555256Y-133230863D01* +X88547949Y-133228169D01* +X88529734Y-133213814D01* +X88509502Y-133202483D01* +X88503659Y-133195551D01* +X88423680Y-133091321D01* +X88423674Y-133091315D01* +X88306174Y-133001155D01* +X88169342Y-132944478D01* +X88169342Y-132944477D01* +X88085000Y-132933373D01* +X88085000Y-133768500D01* +X88080155Y-133783411D01* +X88080155Y-133799093D01* +X88070938Y-133811778D01* +X88066093Y-133826691D01* +X88053407Y-133835907D01* +X88044191Y-133848593D01* +X88029278Y-133853438D01* +X88016593Y-133862655D01* +X87986000Y-133867500D01* +X87934000Y-133867500D01* +X87875809Y-133848593D01* +X87839845Y-133799093D01* +X87835000Y-133768500D01* +X87835000Y-132933374D01* +X87834999Y-132933373D01* +X87750657Y-132944477D01* +X87750657Y-132944478D01* +X87613825Y-133001155D01* +X87613824Y-133001155D01* +X87496325Y-133091315D01* +X87496315Y-133091325D01* +X87416341Y-133195551D01* +X87372051Y-133228170D01* +X87364742Y-133230864D01* +X87294883Y-133244760D01* +X87255253Y-133271239D01* +X87244252Y-133275296D01* +X87224825Y-133276056D01* +X87206111Y-133281334D01* +X87193626Y-133277277D01* +X87183113Y-133277689D01* +X87171968Y-133270239D01* +X87154999Y-133264726D01* +X87125119Y-133244761D01* +X87125117Y-133244760D01* +X87125114Y-133244759D01* +X87125113Y-133244759D01* +X87048410Y-133229501D01* +X87048402Y-133229500D01* +X87048401Y-133229500D01* +X87048400Y-133229500D01* +X86871602Y-133229500D01* +X86871595Y-133229501D01* +X86794884Y-133244759D01* +X86765000Y-133264727D01* +X86706111Y-133281334D01* +X86675748Y-133275296D01* +X86664746Y-133271239D01* +X86625117Y-133244760D01* +X86555256Y-133230863D01* +X86547949Y-133228169D01* +X86529734Y-133213814D01* +X86509502Y-133202483D01* +X86503659Y-133195551D01* +X86423680Y-133091321D01* +X86423674Y-133091315D01* +X86306174Y-133001155D01* +X86169342Y-132944478D01* +X86169342Y-132944477D01* +X86085000Y-132933373D01* +X86085000Y-132975297D01* +X86066093Y-133033488D01* +X86016593Y-133069452D01* +X85955407Y-133069452D01* +X85905907Y-133033488D01* +X85902132Y-133027900D01* +X85895830Y-133017853D01* +X85895240Y-133014883D01* +X85874483Y-132983818D01* +X85873721Y-132982603D01* +X85866604Y-132954262D01* +X85858665Y-132926114D01* +X85859155Y-132924602D01* +X85858819Y-132923261D01* +X85862848Y-132913237D01* +X85875274Y-132874998D01* +X85895238Y-132845120D01* +X85895238Y-132845119D01* +X85895240Y-132845117D01* +X85910500Y-132768401D01* +X85910499Y-132591600D01* +X85895240Y-132514883D01* +X85875273Y-132485001D01* +X85858665Y-132426114D01* +X85875274Y-132374998D01* +X85895238Y-132345120D01* +X85895238Y-132345119D01* +X85895240Y-132345117D01* +X85910500Y-132268401D01* +X85910499Y-132091600D01* +X85895240Y-132014883D01* +X85875273Y-131985001D01* +X85858665Y-131926114D01* +X85875274Y-131874998D01* +X85895238Y-131845120D01* +X85895238Y-131845119D01* +X85895240Y-131845117D01* +X85910500Y-131768401D01* +X85910499Y-131591600D01* +X85895240Y-131514883D01* +X85875273Y-131485001D01* +X85858665Y-131426114D01* +X85875274Y-131374998D01* +X85895238Y-131345120D01* +X85895238Y-131345119D01* +X85895240Y-131345117D01* +X85910500Y-131268401D01* +X85910499Y-131091600D01* +X85895240Y-131014883D01* +X85875273Y-130985001D01* +X85858665Y-130926114D01* +X85875274Y-130874998D01* +X85895238Y-130845120D01* +X85895238Y-130845119D01* +X85895240Y-130845117D01* +X85910500Y-130768401D01* +X85910499Y-130591600D01* +X85903219Y-130554999D01* +X89213373Y-130554999D01* +X89213374Y-130555000D01* +X91081626Y-130555000D01* +X91081626Y-130554999D01* +X91070522Y-130470660D01* +X91070521Y-130470657D01* +X91069377Y-130467895D01* +X91069208Y-130465756D01* +X91068842Y-130464389D01* +X91069095Y-130464321D01* +X91064570Y-130406898D01* +X91069377Y-130392105D01* +X91070521Y-130389342D01* +X91070522Y-130389339D01* +X91081626Y-130305000D01* +X89214597Y-130305000D01* +X89231943Y-130414512D01* +X89228900Y-130433722D01* +X89230426Y-130453115D01* +X89225628Y-130467882D01* +X89224478Y-130470656D01* +X89224477Y-130470661D01* +X89213373Y-130554999D01* +X85903219Y-130554999D01* +X85895240Y-130514883D01* +X85875273Y-130485001D01* +X85858665Y-130426114D01* +X85863617Y-130398850D01* +X85867830Y-130386137D01* +X85895240Y-130345117D01* +X85910500Y-130268401D01* +X85910499Y-130091600D01* +X85895240Y-130014883D01* +X85888970Y-130005500D01* +X85875274Y-129985002D01* +X85868315Y-129960329D01* +X85858804Y-129936525D01* +X85860166Y-129931436D01* +X85858665Y-129926114D01* +X85873835Y-129877214D01* +X85874525Y-129876119D01* +X85895240Y-129845117D01* +X85895782Y-129842390D01* +X85901980Y-129832557D01* +X85919335Y-129818107D01* +X85933996Y-129800938D01* +X85942376Y-129798924D01* +X85949002Y-129793409D01* +X85971533Y-129791920D01* +X85993489Y-129786647D01* +X86001989Y-129789909D01* +X86010055Y-129789377D01* +X86024775Y-129798654D01* +X86046001Y-129806801D01* +X86113825Y-129858844D01* +X86250653Y-129915520D01* +X86250660Y-129915522D01* +X86334999Y-129926625D01* +X86335000Y-129926624D01* +X86335000Y-128058374D01* +X86318762Y-128044134D01* +X86310256Y-128042557D01* +X86268141Y-127998172D01* +X86258500Y-127955557D01* +X86258500Y-127913437D01* +X86258499Y-127913435D01* +X86256874Y-127907372D01* +X86238021Y-127837011D01* +X86230455Y-127823907D01* +X86198460Y-127768489D01* +X86164496Y-127734524D01* +X86136719Y-127680007D01* +X86135500Y-127664521D01* +X86135500Y-127196510D01* +X86128846Y-127154500D01* +X86119719Y-127096874D01* +X86119716Y-127096869D01* +X86119716Y-127096867D01* +X86058529Y-126976782D01* +X86058528Y-126976780D01* +X86017215Y-126935467D01* +X85989440Y-126880953D01* +X85999011Y-126820521D01* +X86035248Y-126781205D01* +X86162732Y-126702571D01* +X86282574Y-126582729D01* +X86371545Y-126438486D01* +X86426111Y-126273816D01* +X86462362Y-126224525D01* +X86520661Y-126205957D01* +X86578741Y-126225202D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X94640212Y-129311907D02* +G01* +X94652025Y-129321996D01* +X95505504Y-130175475D01* +X95533281Y-130229992D01* +X95534500Y-130245479D01* +X95534500Y-130713489D01* +X95550281Y-130813125D01* +X95550283Y-130813132D01* +X95612665Y-130935562D01* +X95622237Y-130995994D01* +X95594460Y-131050510D01* +X95576430Y-131064767D01* +X95507268Y-131107427D01* +X95387425Y-131227270D01* +X95298454Y-131371512D01* +X95245143Y-131532396D01* +X95235000Y-131631676D01* +X95235000Y-131654999D01* +X95235001Y-131655000D01* +X97223993Y-131655000D01* +X97282184Y-131673907D01* +X97289318Y-131680000D01* +X97586000Y-131680000D01* +X97644191Y-131698907D01* +X97680155Y-131748407D01* +X97685000Y-131779000D01* +X97685000Y-132081000D01* +X97666093Y-132139191D01* +X97616593Y-132175155D01* +X97586000Y-132180000D01* +X96696007Y-132180000D01* +X96637816Y-132161093D01* +X96630682Y-132155000D01* +X95375979Y-132155000D01* +X95317788Y-132136093D01* +X95305975Y-132126004D01* +X95039496Y-131859525D01* +X95011719Y-131805008D01* +X95010500Y-131789521D01* +X95010500Y-130390437D01* +X95010499Y-130390435D01* +X95010205Y-130389339D01* +X94990021Y-130314011D01* +X94950460Y-130245489D01* +X94934963Y-130229992D01* +X94894511Y-130189539D01* +X94894511Y-130189540D01* +X94166972Y-129462001D01* +X94139197Y-129407487D01* +X94148768Y-129347055D01* +X94192033Y-129303790D01* +X94236978Y-129293000D01* +X94582021Y-129293000D01* +X94640212Y-129311907D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X84768443Y-127774407D02* +G01* +X84798462Y-127809555D01* +X84800280Y-127813124D01* +X84800281Y-127813126D01* +X84861472Y-127933220D01* +X84956780Y-128028528D01* +X84956782Y-128028529D01* +X85076867Y-128089716D01* +X85076869Y-128089716D01* +X85076874Y-128089719D01* +X85152541Y-128101703D01* +X85176510Y-128105500D01* +X85176512Y-128105500D01* +X85558500Y-128105500D01* +X85573412Y-128110345D01* +X85589093Y-128110345D01* +X85601778Y-128119561D01* +X85616691Y-128124407D01* +X85625907Y-128137092D01* +X85638593Y-128146309D01* +X85643438Y-128161221D01* +X85652655Y-128173907D01* +X85657500Y-128204500D01* +X85657500Y-128307797D01* +X85638593Y-128365988D01* +X85589093Y-128401952D01* +X85543613Y-128403149D01* +X85543324Y-128405347D01* +X85536892Y-128404500D01* +X85405108Y-128404500D01* +X85359730Y-128416659D01* +X85277809Y-128438609D01* +X85163690Y-128504496D01* +X85070496Y-128597690D01* +X85004609Y-128711809D01* +X84985539Y-128782978D01* +X84970500Y-128839108D01* +X84970500Y-128970892D01* +X84991220Y-129048221D01* +X85004609Y-129098190D01* +X85066854Y-129206000D01* +X85079576Y-129265848D01* +X85054690Y-129321744D01* +X85001702Y-129352337D01* +X84981119Y-129354500D01* +X84871602Y-129354500D01* +X84871595Y-129354501D01* +X84794881Y-129369760D01* +X84789561Y-129371964D01* +X84751676Y-129379500D01* +X83404125Y-129379500D01* +X83394064Y-129376231D01* +X83383544Y-129377337D01* +X83365620Y-129366989D01* +X83345934Y-129360593D01* +X83339715Y-129352033D01* +X83330555Y-129346745D01* +X83322137Y-129327840D01* +X83309970Y-129311093D01* +X83309970Y-129300512D01* +X83305668Y-129290850D01* +X83309970Y-129270607D01* +X83309970Y-129249907D01* +X83318387Y-129231002D01* +X83342339Y-129189514D01* +X83342341Y-129189511D01* +X83347832Y-129180000D01* +X83360021Y-129158889D01* +X83380500Y-129082462D01* +X83380500Y-128151214D01* +X83399407Y-128093023D01* +X83448907Y-128057059D01* +X83510093Y-128057059D01* +X83524439Y-128063002D01* +X83576874Y-128089719D01* +X83652541Y-128101703D01* +X83676510Y-128105500D01* +X83676512Y-128105500D01* +X84243490Y-128105500D01* +X84264885Y-128102111D01* +X84343126Y-128089719D01* +X84463220Y-128028528D01* +X84558528Y-127933220D01* +X84619719Y-127813126D01* +X84619719Y-127813124D01* +X84621538Y-127809555D01* +X84637929Y-127793163D01* +X84651557Y-127774407D01* +X84659154Y-127771938D01* +X84664803Y-127766290D01* +X84709748Y-127755500D01* +X84710252Y-127755500D01* +X84768443Y-127774407D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,Conductor* +G36* +X84169191Y-125473407D02* +G01* +X84205155Y-125522907D01* +X84210000Y-125553500D01* +X84210000Y-125654999D01* +X84210001Y-125655000D01* +X85209999Y-125655000D01* +X85210000Y-125654999D01* +X85210000Y-125553500D01* +X85228907Y-125495309D01* +X85278407Y-125459345D01* +X85309000Y-125454500D01* +X85611000Y-125454500D01* +X85669191Y-125473407D01* +X85705155Y-125522907D01* +X85710000Y-125553500D01* +X85710000Y-125806000D01* +X85691093Y-125864191D01* +X85641593Y-125900155D01* +X85611000Y-125905000D01* +X85460001Y-125905000D01* +X85460000Y-125905001D01* +X85460000Y-126056000D01* +X85441093Y-126114191D01* +X85391593Y-126150155D01* +X85361000Y-126155000D01* +X84059000Y-126155000D01* +X84000809Y-126136093D01* +X83964845Y-126086593D01* +X83960000Y-126056000D01* +X83960000Y-125905001D01* +X83959999Y-125905000D01* +X83809000Y-125905000D01* +X83750809Y-125886093D01* +X83714845Y-125836593D01* +X83710000Y-125806000D01* +X83710000Y-125553500D01* +X83728907Y-125495309D01* +X83778407Y-125459345D01* +X83809000Y-125454500D01* +X84111000Y-125454500D01* +X84169191Y-125473407D01* +G37* +G04 #@! TD.AperFunction* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-F_Mask.gbr b/daughter-boards/nfc/gerber/nfc-F_Mask.gbr new file mode 100644 index 0000000..1471960 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-F_Mask.gbr @@ -0,0 +1,236 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Top* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10RoundRect,0.225000X0.250000X-0.225000X0.250000X0.225000X-0.250000X0.225000X-0.250000X-0.225000X0*% +%ADD11RoundRect,0.225000X-0.225000X-0.250000X0.225000X-0.250000X0.225000X0.250000X-0.225000X0.250000X0*% +%ADD12RoundRect,0.200000X-0.200000X-0.275000X0.200000X-0.275000X0.200000X0.275000X-0.200000X0.275000X0*% +%ADD13RoundRect,0.225000X0.225000X0.250000X-0.225000X0.250000X-0.225000X-0.250000X0.225000X-0.250000X0*% +%ADD14C,1.000000*% +%ADD15RoundRect,0.062500X0.375000X0.062500X-0.375000X0.062500X-0.375000X-0.062500X0.375000X-0.062500X0*% +%ADD16RoundRect,0.062500X0.062500X0.375000X-0.062500X0.375000X-0.062500X-0.375000X0.062500X-0.375000X0*% +%ADD17R,3.450000X3.450000*% +%ADD18RoundRect,0.030000X0.070000X-0.070000X0.070000X0.070000X-0.070000X0.070000X-0.070000X-0.070000X0*% +%ADD19RoundRect,0.200000X0.200000X0.275000X-0.200000X0.275000X-0.200000X-0.275000X0.200000X-0.275000X0*% +%ADD20RoundRect,0.100000X0.400000X-0.400000X0.400000X0.400000X-0.400000X0.400000X-0.400000X-0.400000X0*% +%ADD21RoundRect,0.105000X0.995000X-0.420000X0.995000X0.420000X-0.995000X0.420000X-0.995000X-0.420000X0*% +%ADD22RoundRect,0.218750X0.256250X-0.218750X0.256250X0.218750X-0.256250X0.218750X-0.256250X-0.218750X0*% +%ADD23R,1.400000X1.200000*% +%ADD24R,1.700000X1.700000*% +%ADD25O,1.700000X1.700000*% +G04 APERTURE END LIST* +D10* +X102210000Y-127455000D03* +X102210000Y-125905000D03* +X100710000Y-127455000D03* +X100710000Y-125905000D03* +D11* +X91685000Y-134930000D03* +X93235000Y-134930000D03* +D12* +X100635000Y-128930000D03* +X102285000Y-128930000D03* +D13* +X99235000Y-127430000D03* +X97685000Y-127430000D03* +X99235000Y-133430000D03* +X97685000Y-133430000D03* +D10* +X102210000Y-131955000D03* +X102210000Y-130405000D03* +D14* +X86960000Y-135680000D03* +D15* +X90147500Y-133180000D03* +X90147500Y-132680000D03* +X90147500Y-132180000D03* +X90147500Y-131680000D03* +X90147500Y-131180000D03* +X90147500Y-130680000D03* +X90147500Y-130180000D03* +X90147500Y-129680000D03* +D16* +X89460000Y-128992500D03* +X88960000Y-128992500D03* +X88460000Y-128992500D03* +X87960000Y-128992500D03* +X87460000Y-128992500D03* +X86960000Y-128992500D03* +X86460000Y-128992500D03* +X85960000Y-128992500D03* +D15* +X85272500Y-129680000D03* +X85272500Y-130180000D03* +X85272500Y-130680000D03* +X85272500Y-131180000D03* +X85272500Y-131680000D03* +X85272500Y-132180000D03* +X85272500Y-132680000D03* +X85272500Y-133180000D03* +D16* +X85960000Y-133867500D03* +X86460000Y-133867500D03* +X86960000Y-133867500D03* +X87460000Y-133867500D03* +X87960000Y-133867500D03* +X88460000Y-133867500D03* +X88960000Y-133867500D03* +X89460000Y-133867500D03* +D17* +X87710000Y-131430000D03* +D10* +X90210000Y-139430000D03* +X90210000Y-137880000D03* +D13* +X99235000Y-131930000D03* +X97685000Y-131930000D03* +X99235000Y-130430000D03* +X97685000Y-130430000D03* +D18* +X100710000Y-121580000D03* +X100710000Y-119180000D03* +D14* +X100710000Y-122680000D03* +D11* +X91685000Y-137930000D03* +X93235000Y-137930000D03* +D10* +X96210000Y-130455000D03* +X96210000Y-128905000D03* +X85460000Y-127455000D03* +X85460000Y-125905000D03* +D19* +X99285000Y-125930000D03* +X97635000Y-125930000D03* +D10* +X83960000Y-127455000D03* +X83960000Y-125905000D03* +D13* +X99235000Y-128930000D03* +X97685000Y-128930000D03* +D20* +X104315000Y-127430000D03* +D21* +X105815000Y-125955000D03* +D20* +X107315000Y-127430000D03* +D21* +X105815000Y-128905000D03* +D11* +X91685000Y-136430000D03* +X93235000Y-136430000D03* +D10* +X96210000Y-133455000D03* +X96210000Y-131905000D03* +D11* +X91685000Y-133430000D03* +X93235000Y-133430000D03* +D22* +X100710000Y-134967500D03* +X100710000Y-133392500D03* +D10* +X91210000Y-127455000D03* +X91210000Y-125905000D03* +D11* +X91685000Y-139430000D03* +X93235000Y-139430000D03* +D14* +X87960000Y-126680000D03* +D11* +X100685000Y-124430000D03* +X102235000Y-124430000D03* +X97685000Y-134930000D03* +X99235000Y-134930000D03* +D13* +X99235000Y-124430000D03* +X97685000Y-124430000D03* +D10* +X89710000Y-127455000D03* +X89710000Y-125905000D03* +X84210000Y-139455000D03* +X84210000Y-137905000D03* +D11* +X91685000Y-131930000D03* +X93235000Y-131930000D03* +X97685000Y-136430000D03* +X99235000Y-136430000D03* +D23* +X86110000Y-139530000D03* +X88310000Y-139530000D03* +X88310000Y-137830000D03* +X86110000Y-137830000D03* +D22* +X100710000Y-131967500D03* +X100710000Y-130392500D03* +D24* +X81280000Y-147320000D03* +D25* +X81280000Y-144780000D03* +X83820000Y-147320000D03* +X83820000Y-144780000D03* +X86360000Y-147320000D03* +X86360000Y-144780000D03* +X88900000Y-147320000D03* +X88900000Y-144780000D03* +X91440000Y-147320000D03* +X91440000Y-144780000D03* +X93980000Y-147320000D03* +X93980000Y-144780000D03* +X96520000Y-147320000D03* +X96520000Y-144780000D03* +X99060000Y-147320000D03* +X99060000Y-144780000D03* +X101600000Y-147320000D03* +X101600000Y-144780000D03* +X104140000Y-147320000D03* +X104140000Y-144780000D03* +D24* +X81280000Y-68580000D03* +D25* +X81280000Y-66040000D03* +X83820000Y-68580000D03* +X83820000Y-66040000D03* +X86360000Y-68580000D03* +X86360000Y-66040000D03* +X88900000Y-68580000D03* +X88900000Y-66040000D03* +X91440000Y-68580000D03* +X91440000Y-66040000D03* +X93980000Y-68580000D03* +X93980000Y-66040000D03* +X96520000Y-68580000D03* +X96520000Y-66040000D03* +X99060000Y-68580000D03* +X99060000Y-66040000D03* +X101600000Y-68580000D03* +X101600000Y-66040000D03* +X104140000Y-68580000D03* +X104140000Y-66040000D03* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-F_Paste.gbr b/daughter-boards/nfc/gerber/nfc-F_Paste.gbr new file mode 100644 index 0000000..b4f5cdd --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-F_Paste.gbr @@ -0,0 +1,190 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Paste,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +G04 Aperture macros list* +%AMRoundRect* +0 Rectangle with rounded corners* +0 $1 Rounding radius* +0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners* +0 Add a 4 corners polygon primitive as box body* +4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0* +0 Add four circle primitives for the rounded corners* +1,1,$1+$1,$2,$3* +1,1,$1+$1,$4,$5* +1,1,$1+$1,$6,$7* +1,1,$1+$1,$8,$9* +0 Add four rect primitives between the rounded corners* +20,1,$1+$1,$2,$3,$4,$5,0* +20,1,$1+$1,$4,$5,$6,$7,0* +20,1,$1+$1,$6,$7,$8,$9,0* +20,1,$1+$1,$8,$9,$2,$3,0*% +G04 Aperture macros list end* +%ADD10RoundRect,0.225000X0.250000X-0.225000X0.250000X0.225000X-0.250000X0.225000X-0.250000X-0.225000X0*% +%ADD11RoundRect,0.225000X-0.225000X-0.250000X0.225000X-0.250000X0.225000X0.250000X-0.225000X0.250000X0*% +%ADD12RoundRect,0.200000X-0.200000X-0.275000X0.200000X-0.275000X0.200000X0.275000X-0.200000X0.275000X0*% +%ADD13RoundRect,0.225000X0.225000X0.250000X-0.225000X0.250000X-0.225000X-0.250000X0.225000X-0.250000X0*% +%ADD14RoundRect,0.232500X0.232500X0.232500X-0.232500X0.232500X-0.232500X-0.232500X0.232500X-0.232500X0*% +%ADD15RoundRect,0.062500X0.375000X0.062500X-0.375000X0.062500X-0.375000X-0.062500X0.375000X-0.062500X0*% +%ADD16RoundRect,0.062500X0.062500X0.375000X-0.062500X0.375000X-0.062500X-0.375000X0.062500X-0.375000X0*% +%ADD17RoundRect,0.030000X0.070000X-0.070000X0.070000X0.070000X-0.070000X0.070000X-0.070000X-0.070000X0*% +%ADD18RoundRect,0.200000X0.200000X0.275000X-0.200000X0.275000X-0.200000X-0.275000X0.200000X-0.275000X0*% +%ADD19RoundRect,0.100000X0.400000X-0.400000X0.400000X0.400000X-0.400000X0.400000X-0.400000X-0.400000X0*% +%ADD20RoundRect,0.105000X0.995000X-0.420000X0.995000X0.420000X-0.995000X0.420000X-0.995000X-0.420000X0*% +%ADD21RoundRect,0.218750X0.256250X-0.218750X0.256250X0.218750X-0.256250X0.218750X-0.256250X-0.218750X0*% +%ADD22R,1.400000X1.200000*% +G04 APERTURE END LIST* +D10* +X102210000Y-127455000D03* +X102210000Y-125905000D03* +X100710000Y-127455000D03* +X100710000Y-125905000D03* +D11* +X91685000Y-134930000D03* +X93235000Y-134930000D03* +D12* +X100635000Y-128930000D03* +X102285000Y-128930000D03* +D13* +X99235000Y-127430000D03* +X97685000Y-127430000D03* +X99235000Y-133430000D03* +X97685000Y-133430000D03* +D10* +X102210000Y-131955000D03* +X102210000Y-130405000D03* +D14* +X88860000Y-132580000D03* +X88860000Y-131430000D03* +X88860000Y-130280000D03* +X87710000Y-132580000D03* +X87710000Y-131430000D03* +X87710000Y-130280000D03* +X86560000Y-132580000D03* +X86560000Y-131430000D03* +X86560000Y-130280000D03* +D15* +X90147500Y-133180000D03* +X90147500Y-132680000D03* +X90147500Y-132180000D03* +X90147500Y-131680000D03* +X90147500Y-131180000D03* +X90147500Y-130680000D03* +X90147500Y-130180000D03* +X90147500Y-129680000D03* +D16* +X89460000Y-128992500D03* +X88960000Y-128992500D03* +X88460000Y-128992500D03* +X87960000Y-128992500D03* +X87460000Y-128992500D03* +X86960000Y-128992500D03* +X86460000Y-128992500D03* +X85960000Y-128992500D03* +D15* +X85272500Y-129680000D03* +X85272500Y-130180000D03* +X85272500Y-130680000D03* +X85272500Y-131180000D03* +X85272500Y-131680000D03* +X85272500Y-132180000D03* +X85272500Y-132680000D03* +X85272500Y-133180000D03* +D16* +X85960000Y-133867500D03* +X86460000Y-133867500D03* +X86960000Y-133867500D03* +X87460000Y-133867500D03* +X87960000Y-133867500D03* +X88460000Y-133867500D03* +X88960000Y-133867500D03* +X89460000Y-133867500D03* +D10* +X90210000Y-139430000D03* +X90210000Y-137880000D03* +D13* +X99235000Y-131930000D03* +X97685000Y-131930000D03* +X99235000Y-130430000D03* +X97685000Y-130430000D03* +D17* +X100710000Y-121580000D03* +X100710000Y-119180000D03* +D11* +X91685000Y-137930000D03* +X93235000Y-137930000D03* +D10* +X96210000Y-130455000D03* +X96210000Y-128905000D03* +X85460000Y-127455000D03* +X85460000Y-125905000D03* +D18* +X99285000Y-125930000D03* +X97635000Y-125930000D03* +D10* +X83960000Y-127455000D03* +X83960000Y-125905000D03* +D13* +X99235000Y-128930000D03* +X97685000Y-128930000D03* +D19* +X104315000Y-127430000D03* +D20* +X105815000Y-125955000D03* +D19* +X107315000Y-127430000D03* +D20* +X105815000Y-128905000D03* +D11* +X91685000Y-136430000D03* +X93235000Y-136430000D03* +D10* +X96210000Y-133455000D03* +X96210000Y-131905000D03* +D11* +X91685000Y-133430000D03* +X93235000Y-133430000D03* +D21* +X100710000Y-134967500D03* +X100710000Y-133392500D03* +D10* +X91210000Y-127455000D03* +X91210000Y-125905000D03* +D11* +X91685000Y-139430000D03* +X93235000Y-139430000D03* +X100685000Y-124430000D03* +X102235000Y-124430000D03* +X97685000Y-134930000D03* +X99235000Y-134930000D03* +D13* +X99235000Y-124430000D03* +X97685000Y-124430000D03* +D10* +X89710000Y-127455000D03* +X89710000Y-125905000D03* +X84210000Y-139455000D03* +X84210000Y-137905000D03* +D11* +X91685000Y-131930000D03* +X93235000Y-131930000D03* +X97685000Y-136430000D03* +X99235000Y-136430000D03* +D22* +X86110000Y-139530000D03* +X88310000Y-139530000D03* +X88310000Y-137830000D03* +X86110000Y-137830000D03* +D21* +X100710000Y-131967500D03* +X100710000Y-130392500D03* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-F_Silkscreen.gbr b/daughter-boards/nfc/gerber/nfc-F_Silkscreen.gbr new file mode 100644 index 0000000..b158111 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-F_Silkscreen.gbr @@ -0,0 +1,4149 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1* +G04 #@! TF.CreationDate,2025-03-17T15:10:36+01:00* +G04 #@! TF.ProjectId,rfid-reader,72666964-2d72-4656-9164-65722e6b6963,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1) date 2025-03-17 15:10:36* +%MOMM*% +%LPD*% +G01* +G04 APERTURE LIST* +%ADD10C,0.150000*% +%ADD11C,0.300000*% +%ADD12C,0.100000*% +%ADD13C,0.120000*% +%ADD14C,0.010000*% +G04 APERTURE END LIST* +D10* +X103764878Y-151045826D02* +X103729164Y-151117255D01* +X103729164Y-151117255D02* +X103729164Y-151224397D01* +X103729164Y-151224397D02* +X103764878Y-151331540D01* +X103764878Y-151331540D02* +X103836307Y-151402969D01* +X103836307Y-151402969D02* +X103907735Y-151438683D01* +X103907735Y-151438683D02* +X104050592Y-151474397D01* +X104050592Y-151474397D02* +X104157735Y-151474397D01* +X104157735Y-151474397D02* +X104300592Y-151438683D01* +X104300592Y-151438683D02* +X104372021Y-151402969D01* +X104372021Y-151402969D02* +X104443450Y-151331540D01* +X104443450Y-151331540D02* +X104479164Y-151224397D01* +X104479164Y-151224397D02* +X104479164Y-151152969D01* +X104479164Y-151152969D02* +X104443450Y-151045826D01* +X104443450Y-151045826D02* +X104407735Y-151010112D01* +X104407735Y-151010112D02* +X104157735Y-151010112D01* +X104157735Y-151010112D02* +X104157735Y-151152969D01* +X104479164Y-150688683D02* +X103729164Y-150688683D01* +X103729164Y-150688683D02* +X104479164Y-150260112D01* +X104479164Y-150260112D02* +X103729164Y-150260112D01* +X104479164Y-149902969D02* +X103729164Y-149902969D01* +X103729164Y-149902969D02* +X103729164Y-149724398D01* +X103729164Y-149724398D02* +X103764878Y-149617255D01* +X103764878Y-149617255D02* +X103836307Y-149545826D01* +X103836307Y-149545826D02* +X103907735Y-149510112D01* +X103907735Y-149510112D02* +X104050592Y-149474398D01* +X104050592Y-149474398D02* +X104157735Y-149474398D01* +X104157735Y-149474398D02* +X104300592Y-149510112D01* +X104300592Y-149510112D02* +X104372021Y-149545826D01* +X104372021Y-149545826D02* +X104443450Y-149617255D01* +X104443450Y-149617255D02* +X104479164Y-149724398D01* +X104479164Y-149724398D02* +X104479164Y-149902969D01* +X104264878Y-149188683D02* +X104264878Y-148831541D01* +X104479164Y-149260112D02* +X103729164Y-149010112D01* +X103729164Y-149010112D02* +X104479164Y-148760112D01* +X101224878Y-150402969D02* +X101189164Y-150474398D01* +X101189164Y-150474398D02* +X101189164Y-150581540D01* +X101189164Y-150581540D02* +X101224878Y-150688683D01* +X101224878Y-150688683D02* +X101296307Y-150760112D01* +X101296307Y-150760112D02* +X101367735Y-150795826D01* +X101367735Y-150795826D02* +X101510592Y-150831540D01* +X101510592Y-150831540D02* +X101617735Y-150831540D01* +X101617735Y-150831540D02* +X101760592Y-150795826D01* +X101760592Y-150795826D02* +X101832021Y-150760112D01* +X101832021Y-150760112D02* +X101903450Y-150688683D01* +X101903450Y-150688683D02* +X101939164Y-150581540D01* +X101939164Y-150581540D02* +X101939164Y-150510112D01* +X101939164Y-150510112D02* +X101903450Y-150402969D01* +X101903450Y-150402969D02* +X101867735Y-150367255D01* +X101867735Y-150367255D02* +X101617735Y-150367255D01* +X101617735Y-150367255D02* +X101617735Y-150510112D01* +X101939164Y-150045826D02* +X101189164Y-150045826D01* +X101189164Y-150045826D02* +X101939164Y-149617255D01* +X101939164Y-149617255D02* +X101189164Y-149617255D01* +X101939164Y-149260112D02* +X101189164Y-149260112D01* +X101189164Y-149260112D02* +X101189164Y-149081541D01* +X101189164Y-149081541D02* +X101224878Y-148974398D01* +X101224878Y-148974398D02* +X101296307Y-148902969D01* +X101296307Y-148902969D02* +X101367735Y-148867255D01* +X101367735Y-148867255D02* +X101510592Y-148831541D01* +X101510592Y-148831541D02* +X101617735Y-148831541D01* +X101617735Y-148831541D02* +X101760592Y-148867255D01* +X101760592Y-148867255D02* +X101832021Y-148902969D01* +X101832021Y-148902969D02* +X101903450Y-148974398D01* +X101903450Y-148974398D02* +X101939164Y-149081541D01* +X101939164Y-149081541D02* +X101939164Y-149260112D01* +X99399164Y-150688684D02* +X98649164Y-150688684D01* +X98649164Y-150688684D02* +X98649164Y-150510113D01* +X98649164Y-150510113D02* +X98684878Y-150402970D01* +X98684878Y-150402970D02* +X98756307Y-150331541D01* +X98756307Y-150331541D02* +X98827735Y-150295827D01* +X98827735Y-150295827D02* +X98970592Y-150260113D01* +X98970592Y-150260113D02* +X99077735Y-150260113D01* +X99077735Y-150260113D02* +X99220592Y-150295827D01* +X99220592Y-150295827D02* +X99292021Y-150331541D01* +X99292021Y-150331541D02* +X99363450Y-150402970D01* +X99363450Y-150402970D02* +X99399164Y-150510113D01* +X99399164Y-150510113D02* +X99399164Y-150688684D01* +X99399164Y-149545827D02* +X99399164Y-149974398D01* +X99399164Y-149760113D02* +X98649164Y-149760113D01* +X98649164Y-149760113D02* +X98756307Y-149831541D01* +X98756307Y-149831541D02* +X98827735Y-149902970D01* +X98827735Y-149902970D02* +X98863450Y-149974398D01* +X98649164Y-148867255D02* +X98649164Y-149224398D01* +X98649164Y-149224398D02* +X99006307Y-149260112D01* +X99006307Y-149260112D02* +X98970592Y-149224398D01* +X98970592Y-149224398D02* +X98934878Y-149152970D01* +X98934878Y-149152970D02* +X98934878Y-148974398D01* +X98934878Y-148974398D02* +X98970592Y-148902970D01* +X98970592Y-148902970D02* +X99006307Y-148867255D01* +X99006307Y-148867255D02* +X99077735Y-148831541D01* +X99077735Y-148831541D02* +X99256307Y-148831541D01* +X99256307Y-148831541D02* +X99327735Y-148867255D01* +X99327735Y-148867255D02* +X99363450Y-148902970D01* +X99363450Y-148902970D02* +X99399164Y-148974398D01* +X99399164Y-148974398D02* +X99399164Y-149152970D01* +X99399164Y-149152970D02* +X99363450Y-149224398D01* +X99363450Y-149224398D02* +X99327735Y-149260112D01* +X96859164Y-150688684D02* +X96109164Y-150688684D01* +X96109164Y-150688684D02* +X96109164Y-150510113D01* +X96109164Y-150510113D02* +X96144878Y-150402970D01* +X96144878Y-150402970D02* +X96216307Y-150331541D01* +X96216307Y-150331541D02* +X96287735Y-150295827D01* +X96287735Y-150295827D02* +X96430592Y-150260113D01* +X96430592Y-150260113D02* +X96537735Y-150260113D01* +X96537735Y-150260113D02* +X96680592Y-150295827D01* +X96680592Y-150295827D02* +X96752021Y-150331541D01* +X96752021Y-150331541D02* +X96823450Y-150402970D01* +X96823450Y-150402970D02* +X96859164Y-150510113D01* +X96859164Y-150510113D02* +X96859164Y-150688684D01* +X96859164Y-149545827D02* +X96859164Y-149974398D01* +X96859164Y-149760113D02* +X96109164Y-149760113D01* +X96109164Y-149760113D02* +X96216307Y-149831541D01* +X96216307Y-149831541D02* +X96287735Y-149902970D01* +X96287735Y-149902970D02* +X96323450Y-149974398D01* +X96109164Y-149295827D02* +X96109164Y-148831541D01* +X96109164Y-148831541D02* +X96394878Y-149081541D01* +X96394878Y-149081541D02* +X96394878Y-148974398D01* +X96394878Y-148974398D02* +X96430592Y-148902970D01* +X96430592Y-148902970D02* +X96466307Y-148867255D01* +X96466307Y-148867255D02* +X96537735Y-148831541D01* +X96537735Y-148831541D02* +X96716307Y-148831541D01* +X96716307Y-148831541D02* +X96787735Y-148867255D01* +X96787735Y-148867255D02* +X96823450Y-148902970D01* +X96823450Y-148902970D02* +X96859164Y-148974398D01* +X96859164Y-148974398D02* +X96859164Y-149188684D01* +X96859164Y-149188684D02* +X96823450Y-149260112D01* +X96823450Y-149260112D02* +X96787735Y-149295827D01* +X94319164Y-150688684D02* +X93569164Y-150688684D01* +X93569164Y-150688684D02* +X93569164Y-150510113D01* +X93569164Y-150510113D02* +X93604878Y-150402970D01* +X93604878Y-150402970D02* +X93676307Y-150331541D01* +X93676307Y-150331541D02* +X93747735Y-150295827D01* +X93747735Y-150295827D02* +X93890592Y-150260113D01* +X93890592Y-150260113D02* +X93997735Y-150260113D01* +X93997735Y-150260113D02* +X94140592Y-150295827D01* +X94140592Y-150295827D02* +X94212021Y-150331541D01* +X94212021Y-150331541D02* +X94283450Y-150402970D01* +X94283450Y-150402970D02* +X94319164Y-150510113D01* +X94319164Y-150510113D02* +X94319164Y-150688684D01* +X94319164Y-149545827D02* +X94319164Y-149974398D01* +X94319164Y-149760113D02* +X93569164Y-149760113D01* +X93569164Y-149760113D02* +X93676307Y-149831541D01* +X93676307Y-149831541D02* +X93747735Y-149902970D01* +X93747735Y-149902970D02* +X93783450Y-149974398D01* +X94319164Y-148831541D02* +X94319164Y-149260112D01* +X94319164Y-149045827D02* +X93569164Y-149045827D01* +X93569164Y-149045827D02* +X93676307Y-149117255D01* +X93676307Y-149117255D02* +X93747735Y-149188684D01* +X93747735Y-149188684D02* +X93783450Y-149260112D01* +X91779164Y-149974398D02* +X91029164Y-149974398D01* +X91029164Y-149974398D02* +X91029164Y-149795827D01* +X91029164Y-149795827D02* +X91064878Y-149688684D01* +X91064878Y-149688684D02* +X91136307Y-149617255D01* +X91136307Y-149617255D02* +X91207735Y-149581541D01* +X91207735Y-149581541D02* +X91350592Y-149545827D01* +X91350592Y-149545827D02* +X91457735Y-149545827D01* +X91457735Y-149545827D02* +X91600592Y-149581541D01* +X91600592Y-149581541D02* +X91672021Y-149617255D01* +X91672021Y-149617255D02* +X91743450Y-149688684D01* +X91743450Y-149688684D02* +X91779164Y-149795827D01* +X91779164Y-149795827D02* +X91779164Y-149974398D01* +X91779164Y-149188684D02* +X91779164Y-149045827D01* +X91779164Y-149045827D02* +X91743450Y-148974398D01* +X91743450Y-148974398D02* +X91707735Y-148938684D01* +X91707735Y-148938684D02* +X91600592Y-148867255D01* +X91600592Y-148867255D02* +X91457735Y-148831541D01* +X91457735Y-148831541D02* +X91172021Y-148831541D01* +X91172021Y-148831541D02* +X91100592Y-148867255D01* +X91100592Y-148867255D02* +X91064878Y-148902970D01* +X91064878Y-148902970D02* +X91029164Y-148974398D01* +X91029164Y-148974398D02* +X91029164Y-149117255D01* +X91029164Y-149117255D02* +X91064878Y-149188684D01* +X91064878Y-149188684D02* +X91100592Y-149224398D01* +X91100592Y-149224398D02* +X91172021Y-149260112D01* +X91172021Y-149260112D02* +X91350592Y-149260112D01* +X91350592Y-149260112D02* +X91422021Y-149224398D01* +X91422021Y-149224398D02* +X91457735Y-149188684D01* +X91457735Y-149188684D02* +X91493450Y-149117255D01* +X91493450Y-149117255D02* +X91493450Y-148974398D01* +X91493450Y-148974398D02* +X91457735Y-148902970D01* +X91457735Y-148902970D02* +X91422021Y-148867255D01* +X91422021Y-148867255D02* +X91350592Y-148831541D01* +X89239164Y-149974398D02* +X88489164Y-149974398D01* +X88489164Y-149974398D02* +X88489164Y-149795827D01* +X88489164Y-149795827D02* +X88524878Y-149688684D01* +X88524878Y-149688684D02* +X88596307Y-149617255D01* +X88596307Y-149617255D02* +X88667735Y-149581541D01* +X88667735Y-149581541D02* +X88810592Y-149545827D01* +X88810592Y-149545827D02* +X88917735Y-149545827D01* +X88917735Y-149545827D02* +X89060592Y-149581541D01* +X89060592Y-149581541D02* +X89132021Y-149617255D01* +X89132021Y-149617255D02* +X89203450Y-149688684D01* +X89203450Y-149688684D02* +X89239164Y-149795827D01* +X89239164Y-149795827D02* +X89239164Y-149974398D01* +X88489164Y-149295827D02* +X88489164Y-148795827D01* +X88489164Y-148795827D02* +X89239164Y-149117255D01* +X86699164Y-149974398D02* +X85949164Y-149974398D01* +X85949164Y-149974398D02* +X85949164Y-149795827D01* +X85949164Y-149795827D02* +X85984878Y-149688684D01* +X85984878Y-149688684D02* +X86056307Y-149617255D01* +X86056307Y-149617255D02* +X86127735Y-149581541D01* +X86127735Y-149581541D02* +X86270592Y-149545827D01* +X86270592Y-149545827D02* +X86377735Y-149545827D01* +X86377735Y-149545827D02* +X86520592Y-149581541D01* +X86520592Y-149581541D02* +X86592021Y-149617255D01* +X86592021Y-149617255D02* +X86663450Y-149688684D01* +X86663450Y-149688684D02* +X86699164Y-149795827D01* +X86699164Y-149795827D02* +X86699164Y-149974398D01* +X85949164Y-148867255D02* +X85949164Y-149224398D01* +X85949164Y-149224398D02* +X86306307Y-149260112D01* +X86306307Y-149260112D02* +X86270592Y-149224398D01* +X86270592Y-149224398D02* +X86234878Y-149152970D01* +X86234878Y-149152970D02* +X86234878Y-148974398D01* +X86234878Y-148974398D02* +X86270592Y-148902970D01* +X86270592Y-148902970D02* +X86306307Y-148867255D01* +X86306307Y-148867255D02* +X86377735Y-148831541D01* +X86377735Y-148831541D02* +X86556307Y-148831541D01* +X86556307Y-148831541D02* +X86627735Y-148867255D01* +X86627735Y-148867255D02* +X86663450Y-148902970D01* +X86663450Y-148902970D02* +X86699164Y-148974398D01* +X86699164Y-148974398D02* +X86699164Y-149152970D01* +X86699164Y-149152970D02* +X86663450Y-149224398D01* +X86663450Y-149224398D02* +X86627735Y-149260112D01* +X84159164Y-149974398D02* +X83409164Y-149974398D01* +X83409164Y-149974398D02* +X83409164Y-149795827D01* +X83409164Y-149795827D02* +X83444878Y-149688684D01* +X83444878Y-149688684D02* +X83516307Y-149617255D01* +X83516307Y-149617255D02* +X83587735Y-149581541D01* +X83587735Y-149581541D02* +X83730592Y-149545827D01* +X83730592Y-149545827D02* +X83837735Y-149545827D01* +X83837735Y-149545827D02* +X83980592Y-149581541D01* +X83980592Y-149581541D02* +X84052021Y-149617255D01* +X84052021Y-149617255D02* +X84123450Y-149688684D01* +X84123450Y-149688684D02* +X84159164Y-149795827D01* +X84159164Y-149795827D02* +X84159164Y-149974398D01* +X83409164Y-149295827D02* +X83409164Y-148831541D01* +X83409164Y-148831541D02* +X83694878Y-149081541D01* +X83694878Y-149081541D02* +X83694878Y-148974398D01* +X83694878Y-148974398D02* +X83730592Y-148902970D01* +X83730592Y-148902970D02* +X83766307Y-148867255D01* +X83766307Y-148867255D02* +X83837735Y-148831541D01* +X83837735Y-148831541D02* +X84016307Y-148831541D01* +X84016307Y-148831541D02* +X84087735Y-148867255D01* +X84087735Y-148867255D02* +X84123450Y-148902970D01* +X84123450Y-148902970D02* +X84159164Y-148974398D01* +X84159164Y-148974398D02* +X84159164Y-149188684D01* +X84159164Y-149188684D02* +X84123450Y-149260112D01* +X84123450Y-149260112D02* +X84087735Y-149295827D01* +X81619164Y-149974398D02* +X80869164Y-149974398D01* +X80869164Y-149974398D02* +X80869164Y-149795827D01* +X80869164Y-149795827D02* +X80904878Y-149688684D01* +X80904878Y-149688684D02* +X80976307Y-149617255D01* +X80976307Y-149617255D02* +X81047735Y-149581541D01* +X81047735Y-149581541D02* +X81190592Y-149545827D01* +X81190592Y-149545827D02* +X81297735Y-149545827D01* +X81297735Y-149545827D02* +X81440592Y-149581541D01* +X81440592Y-149581541D02* +X81512021Y-149617255D01* +X81512021Y-149617255D02* +X81583450Y-149688684D01* +X81583450Y-149688684D02* +X81619164Y-149795827D01* +X81619164Y-149795827D02* +X81619164Y-149974398D01* +X81619164Y-148831541D02* +X81619164Y-149260112D01* +X81619164Y-149045827D02* +X80869164Y-149045827D01* +X80869164Y-149045827D02* +X80976307Y-149117255D01* +X80976307Y-149117255D02* +X81047735Y-149188684D01* +X81047735Y-149188684D02* +X81083450Y-149260112D01* +X81619164Y-143232744D02* +X80869164Y-143232744D01* +X80869164Y-143232744D02* +X80869164Y-143054173D01* +X80869164Y-143054173D02* +X80904878Y-142947030D01* +X80904878Y-142947030D02* +X80976307Y-142875601D01* +X80976307Y-142875601D02* +X81047735Y-142839887D01* +X81047735Y-142839887D02* +X81190592Y-142804173D01* +X81190592Y-142804173D02* +X81297735Y-142804173D01* +X81297735Y-142804173D02* +X81440592Y-142839887D01* +X81440592Y-142839887D02* +X81512021Y-142875601D01* +X81512021Y-142875601D02* +X81583450Y-142947030D01* +X81583450Y-142947030D02* +X81619164Y-143054173D01* +X81619164Y-143054173D02* +X81619164Y-143232744D01* +X80869164Y-142339887D02* +X80869164Y-142268458D01* +X80869164Y-142268458D02* +X80904878Y-142197030D01* +X80904878Y-142197030D02* +X80940592Y-142161316D01* +X80940592Y-142161316D02* +X81012021Y-142125601D01* +X81012021Y-142125601D02* +X81154878Y-142089887D01* +X81154878Y-142089887D02* +X81333450Y-142089887D01* +X81333450Y-142089887D02* +X81476307Y-142125601D01* +X81476307Y-142125601D02* +X81547735Y-142161316D01* +X81547735Y-142161316D02* +X81583450Y-142197030D01* +X81583450Y-142197030D02* +X81619164Y-142268458D01* +X81619164Y-142268458D02* +X81619164Y-142339887D01* +X81619164Y-142339887D02* +X81583450Y-142411316D01* +X81583450Y-142411316D02* +X81547735Y-142447030D01* +X81547735Y-142447030D02* +X81476307Y-142482744D01* +X81476307Y-142482744D02* +X81333450Y-142518458D01* +X81333450Y-142518458D02* +X81154878Y-142518458D01* +X81154878Y-142518458D02* +X81012021Y-142482744D01* +X81012021Y-142482744D02* +X80940592Y-142447030D01* +X80940592Y-142447030D02* +X80904878Y-142411316D01* +X80904878Y-142411316D02* +X80869164Y-142339887D01* +X84159164Y-143232744D02* +X83409164Y-143232744D01* +X83409164Y-143232744D02* +X83409164Y-143054173D01* +X83409164Y-143054173D02* +X83444878Y-142947030D01* +X83444878Y-142947030D02* +X83516307Y-142875601D01* +X83516307Y-142875601D02* +X83587735Y-142839887D01* +X83587735Y-142839887D02* +X83730592Y-142804173D01* +X83730592Y-142804173D02* +X83837735Y-142804173D01* +X83837735Y-142804173D02* +X83980592Y-142839887D01* +X83980592Y-142839887D02* +X84052021Y-142875601D01* +X84052021Y-142875601D02* +X84123450Y-142947030D01* +X84123450Y-142947030D02* +X84159164Y-143054173D01* +X84159164Y-143054173D02* +X84159164Y-143232744D01* +X83480592Y-142518458D02* +X83444878Y-142482744D01* +X83444878Y-142482744D02* +X83409164Y-142411316D01* +X83409164Y-142411316D02* +X83409164Y-142232744D01* +X83409164Y-142232744D02* +X83444878Y-142161316D01* +X83444878Y-142161316D02* +X83480592Y-142125601D01* +X83480592Y-142125601D02* +X83552021Y-142089887D01* +X83552021Y-142089887D02* +X83623450Y-142089887D01* +X83623450Y-142089887D02* +X83730592Y-142125601D01* +X83730592Y-142125601D02* +X84159164Y-142554173D01* +X84159164Y-142554173D02* +X84159164Y-142089887D01* +X86699164Y-143232744D02* +X85949164Y-143232744D01* +X85949164Y-143232744D02* +X85949164Y-143054173D01* +X85949164Y-143054173D02* +X85984878Y-142947030D01* +X85984878Y-142947030D02* +X86056307Y-142875601D01* +X86056307Y-142875601D02* +X86127735Y-142839887D01* +X86127735Y-142839887D02* +X86270592Y-142804173D01* +X86270592Y-142804173D02* +X86377735Y-142804173D01* +X86377735Y-142804173D02* +X86520592Y-142839887D01* +X86520592Y-142839887D02* +X86592021Y-142875601D01* +X86592021Y-142875601D02* +X86663450Y-142947030D01* +X86663450Y-142947030D02* +X86699164Y-143054173D01* +X86699164Y-143054173D02* +X86699164Y-143232744D01* +X86199164Y-142161316D02* +X86699164Y-142161316D01* +X85913450Y-142339887D02* +X86449164Y-142518458D01* +X86449164Y-142518458D02* +X86449164Y-142054173D01* +X89239164Y-143232744D02* +X88489164Y-143232744D01* +X88489164Y-143232744D02* +X88489164Y-143054173D01* +X88489164Y-143054173D02* +X88524878Y-142947030D01* +X88524878Y-142947030D02* +X88596307Y-142875601D01* +X88596307Y-142875601D02* +X88667735Y-142839887D01* +X88667735Y-142839887D02* +X88810592Y-142804173D01* +X88810592Y-142804173D02* +X88917735Y-142804173D01* +X88917735Y-142804173D02* +X89060592Y-142839887D01* +X89060592Y-142839887D02* +X89132021Y-142875601D01* +X89132021Y-142875601D02* +X89203450Y-142947030D01* +X89203450Y-142947030D02* +X89239164Y-143054173D01* +X89239164Y-143054173D02* +X89239164Y-143232744D01* +X88489164Y-142161316D02* +X88489164Y-142304173D01* +X88489164Y-142304173D02* +X88524878Y-142375601D01* +X88524878Y-142375601D02* +X88560592Y-142411316D01* +X88560592Y-142411316D02* +X88667735Y-142482744D01* +X88667735Y-142482744D02* +X88810592Y-142518458D01* +X88810592Y-142518458D02* +X89096307Y-142518458D01* +X89096307Y-142518458D02* +X89167735Y-142482744D01* +X89167735Y-142482744D02* +X89203450Y-142447030D01* +X89203450Y-142447030D02* +X89239164Y-142375601D01* +X89239164Y-142375601D02* +X89239164Y-142232744D01* +X89239164Y-142232744D02* +X89203450Y-142161316D01* +X89203450Y-142161316D02* +X89167735Y-142125601D01* +X89167735Y-142125601D02* +X89096307Y-142089887D01* +X89096307Y-142089887D02* +X88917735Y-142089887D01* +X88917735Y-142089887D02* +X88846307Y-142125601D01* +X88846307Y-142125601D02* +X88810592Y-142161316D01* +X88810592Y-142161316D02* +X88774878Y-142232744D01* +X88774878Y-142232744D02* +X88774878Y-142375601D01* +X88774878Y-142375601D02* +X88810592Y-142447030D01* +X88810592Y-142447030D02* +X88846307Y-142482744D01* +X88846307Y-142482744D02* +X88917735Y-142518458D01* +X91779164Y-143232744D02* +X91029164Y-143232744D01* +X91029164Y-143232744D02* +X91029164Y-143054173D01* +X91029164Y-143054173D02* +X91064878Y-142947030D01* +X91064878Y-142947030D02* +X91136307Y-142875601D01* +X91136307Y-142875601D02* +X91207735Y-142839887D01* +X91207735Y-142839887D02* +X91350592Y-142804173D01* +X91350592Y-142804173D02* +X91457735Y-142804173D01* +X91457735Y-142804173D02* +X91600592Y-142839887D01* +X91600592Y-142839887D02* +X91672021Y-142875601D01* +X91672021Y-142875601D02* +X91743450Y-142947030D01* +X91743450Y-142947030D02* +X91779164Y-143054173D01* +X91779164Y-143054173D02* +X91779164Y-143232744D01* +X91350592Y-142375601D02* +X91314878Y-142447030D01* +X91314878Y-142447030D02* +X91279164Y-142482744D01* +X91279164Y-142482744D02* +X91207735Y-142518458D01* +X91207735Y-142518458D02* +X91172021Y-142518458D01* +X91172021Y-142518458D02* +X91100592Y-142482744D01* +X91100592Y-142482744D02* +X91064878Y-142447030D01* +X91064878Y-142447030D02* +X91029164Y-142375601D01* +X91029164Y-142375601D02* +X91029164Y-142232744D01* +X91029164Y-142232744D02* +X91064878Y-142161316D01* +X91064878Y-142161316D02* +X91100592Y-142125601D01* +X91100592Y-142125601D02* +X91172021Y-142089887D01* +X91172021Y-142089887D02* +X91207735Y-142089887D01* +X91207735Y-142089887D02* +X91279164Y-142125601D01* +X91279164Y-142125601D02* +X91314878Y-142161316D01* +X91314878Y-142161316D02* +X91350592Y-142232744D01* +X91350592Y-142232744D02* +X91350592Y-142375601D01* +X91350592Y-142375601D02* +X91386307Y-142447030D01* +X91386307Y-142447030D02* +X91422021Y-142482744D01* +X91422021Y-142482744D02* +X91493450Y-142518458D01* +X91493450Y-142518458D02* +X91636307Y-142518458D01* +X91636307Y-142518458D02* +X91707735Y-142482744D01* +X91707735Y-142482744D02* +X91743450Y-142447030D01* +X91743450Y-142447030D02* +X91779164Y-142375601D01* +X91779164Y-142375601D02* +X91779164Y-142232744D01* +X91779164Y-142232744D02* +X91743450Y-142161316D01* +X91743450Y-142161316D02* +X91707735Y-142125601D01* +X91707735Y-142125601D02* +X91636307Y-142089887D01* +X91636307Y-142089887D02* +X91493450Y-142089887D01* +X91493450Y-142089887D02* +X91422021Y-142125601D01* +X91422021Y-142125601D02* +X91386307Y-142161316D01* +X91386307Y-142161316D02* +X91350592Y-142232744D01* +X94319164Y-143232744D02* +X93569164Y-143232744D01* +X93569164Y-143232744D02* +X93569164Y-143054173D01* +X93569164Y-143054173D02* +X93604878Y-142947030D01* +X93604878Y-142947030D02* +X93676307Y-142875601D01* +X93676307Y-142875601D02* +X93747735Y-142839887D01* +X93747735Y-142839887D02* +X93890592Y-142804173D01* +X93890592Y-142804173D02* +X93997735Y-142804173D01* +X93997735Y-142804173D02* +X94140592Y-142839887D01* +X94140592Y-142839887D02* +X94212021Y-142875601D01* +X94212021Y-142875601D02* +X94283450Y-142947030D01* +X94283450Y-142947030D02* +X94319164Y-143054173D01* +X94319164Y-143054173D02* +X94319164Y-143232744D01* +X94319164Y-142089887D02* +X94319164Y-142518458D01* +X94319164Y-142304173D02* +X93569164Y-142304173D01* +X93569164Y-142304173D02* +X93676307Y-142375601D01* +X93676307Y-142375601D02* +X93747735Y-142447030D01* +X93747735Y-142447030D02* +X93783450Y-142518458D01* +X93569164Y-141625601D02* +X93569164Y-141554172D01* +X93569164Y-141554172D02* +X93604878Y-141482744D01* +X93604878Y-141482744D02* +X93640592Y-141447030D01* +X93640592Y-141447030D02* +X93712021Y-141411315D01* +X93712021Y-141411315D02* +X93854878Y-141375601D01* +X93854878Y-141375601D02* +X94033450Y-141375601D01* +X94033450Y-141375601D02* +X94176307Y-141411315D01* +X94176307Y-141411315D02* +X94247735Y-141447030D01* +X94247735Y-141447030D02* +X94283450Y-141482744D01* +X94283450Y-141482744D02* +X94319164Y-141554172D01* +X94319164Y-141554172D02* +X94319164Y-141625601D01* +X94319164Y-141625601D02* +X94283450Y-141697030D01* +X94283450Y-141697030D02* +X94247735Y-141732744D01* +X94247735Y-141732744D02* +X94176307Y-141768458D01* +X94176307Y-141768458D02* +X94033450Y-141804172D01* +X94033450Y-141804172D02* +X93854878Y-141804172D01* +X93854878Y-141804172D02* +X93712021Y-141768458D01* +X93712021Y-141768458D02* +X93640592Y-141732744D01* +X93640592Y-141732744D02* +X93604878Y-141697030D01* +X93604878Y-141697030D02* +X93569164Y-141625601D01* +X96859164Y-143232744D02* +X96109164Y-143232744D01* +X96109164Y-143232744D02* +X96109164Y-143054173D01* +X96109164Y-143054173D02* +X96144878Y-142947030D01* +X96144878Y-142947030D02* +X96216307Y-142875601D01* +X96216307Y-142875601D02* +X96287735Y-142839887D01* +X96287735Y-142839887D02* +X96430592Y-142804173D01* +X96430592Y-142804173D02* +X96537735Y-142804173D01* +X96537735Y-142804173D02* +X96680592Y-142839887D01* +X96680592Y-142839887D02* +X96752021Y-142875601D01* +X96752021Y-142875601D02* +X96823450Y-142947030D01* +X96823450Y-142947030D02* +X96859164Y-143054173D01* +X96859164Y-143054173D02* +X96859164Y-143232744D01* +X96859164Y-142089887D02* +X96859164Y-142518458D01* +X96859164Y-142304173D02* +X96109164Y-142304173D01* +X96109164Y-142304173D02* +X96216307Y-142375601D01* +X96216307Y-142375601D02* +X96287735Y-142447030D01* +X96287735Y-142447030D02* +X96323450Y-142518458D01* +X96180592Y-141804172D02* +X96144878Y-141768458D01* +X96144878Y-141768458D02* +X96109164Y-141697030D01* +X96109164Y-141697030D02* +X96109164Y-141518458D01* +X96109164Y-141518458D02* +X96144878Y-141447030D01* +X96144878Y-141447030D02* +X96180592Y-141411315D01* +X96180592Y-141411315D02* +X96252021Y-141375601D01* +X96252021Y-141375601D02* +X96323450Y-141375601D01* +X96323450Y-141375601D02* +X96430592Y-141411315D01* +X96430592Y-141411315D02* +X96859164Y-141839887D01* +X96859164Y-141839887D02* +X96859164Y-141375601D01* +X99399164Y-143232744D02* +X98649164Y-143232744D01* +X98649164Y-143232744D02* +X98649164Y-143054173D01* +X98649164Y-143054173D02* +X98684878Y-142947030D01* +X98684878Y-142947030D02* +X98756307Y-142875601D01* +X98756307Y-142875601D02* +X98827735Y-142839887D01* +X98827735Y-142839887D02* +X98970592Y-142804173D01* +X98970592Y-142804173D02* +X99077735Y-142804173D01* +X99077735Y-142804173D02* +X99220592Y-142839887D01* +X99220592Y-142839887D02* +X99292021Y-142875601D01* +X99292021Y-142875601D02* +X99363450Y-142947030D01* +X99363450Y-142947030D02* +X99399164Y-143054173D01* +X99399164Y-143054173D02* +X99399164Y-143232744D01* +X99399164Y-142089887D02* +X99399164Y-142518458D01* +X99399164Y-142304173D02* +X98649164Y-142304173D01* +X98649164Y-142304173D02* +X98756307Y-142375601D01* +X98756307Y-142375601D02* +X98827735Y-142447030D01* +X98827735Y-142447030D02* +X98863450Y-142518458D01* +X98899164Y-141447030D02* +X99399164Y-141447030D01* +X98613450Y-141625601D02* +X99149164Y-141804172D01* +X99149164Y-141804172D02* +X99149164Y-141339887D01* +X101189164Y-143339887D02* +X101939164Y-143089887D01* +X101939164Y-143089887D02* +X101189164Y-142839887D01* +X101939164Y-142589887D02* +X101189164Y-142589887D01* +X101189164Y-142589887D02* +X101189164Y-142411316D01* +X101189164Y-142411316D02* +X101224878Y-142304173D01* +X101224878Y-142304173D02* +X101296307Y-142232744D01* +X101296307Y-142232744D02* +X101367735Y-142197030D01* +X101367735Y-142197030D02* +X101510592Y-142161316D01* +X101510592Y-142161316D02* +X101617735Y-142161316D01* +X101617735Y-142161316D02* +X101760592Y-142197030D01* +X101760592Y-142197030D02* +X101832021Y-142232744D01* +X101832021Y-142232744D02* +X101903450Y-142304173D01* +X101903450Y-142304173D02* +X101939164Y-142411316D01* +X101939164Y-142411316D02* +X101939164Y-142589887D01* +X101939164Y-141839887D02* +X101189164Y-141839887D01* +X101189164Y-141839887D02* +X101189164Y-141661316D01* +X101189164Y-141661316D02* +X101224878Y-141554173D01* +X101224878Y-141554173D02* +X101296307Y-141482744D01* +X101296307Y-141482744D02* +X101367735Y-141447030D01* +X101367735Y-141447030D02* +X101510592Y-141411316D01* +X101510592Y-141411316D02* +X101617735Y-141411316D01* +X101617735Y-141411316D02* +X101760592Y-141447030D01* +X101760592Y-141447030D02* +X101832021Y-141482744D01* +X101832021Y-141482744D02* +X101903450Y-141554173D01* +X101903450Y-141554173D02* +X101939164Y-141661316D01* +X101939164Y-141661316D02* +X101939164Y-141839887D01* +X103729164Y-143339887D02* +X104479164Y-143089887D01* +X104479164Y-143089887D02* +X103729164Y-142839887D01* +X104479164Y-142589887D02* +X103729164Y-142589887D01* +X103729164Y-142589887D02* +X103729164Y-142411316D01* +X103729164Y-142411316D02* +X103764878Y-142304173D01* +X103764878Y-142304173D02* +X103836307Y-142232744D01* +X103836307Y-142232744D02* +X103907735Y-142197030D01* +X103907735Y-142197030D02* +X104050592Y-142161316D01* +X104050592Y-142161316D02* +X104157735Y-142161316D01* +X104157735Y-142161316D02* +X104300592Y-142197030D01* +X104300592Y-142197030D02* +X104372021Y-142232744D01* +X104372021Y-142232744D02* +X104443450Y-142304173D01* +X104443450Y-142304173D02* +X104479164Y-142411316D01* +X104479164Y-142411316D02* +X104479164Y-142589887D01* +X104479164Y-141839887D02* +X103729164Y-141839887D01* +X103729164Y-141839887D02* +X103729164Y-141661316D01* +X103729164Y-141661316D02* +X103764878Y-141554173D01* +X103764878Y-141554173D02* +X103836307Y-141482744D01* +X103836307Y-141482744D02* +X103907735Y-141447030D01* +X103907735Y-141447030D02* +X104050592Y-141411316D01* +X104050592Y-141411316D02* +X104157735Y-141411316D01* +X104157735Y-141411316D02* +X104300592Y-141447030D01* +X104300592Y-141447030D02* +X104372021Y-141482744D01* +X104372021Y-141482744D02* +X104443450Y-141554173D01* +X104443450Y-141554173D02* +X104479164Y-141661316D01* +X104479164Y-141661316D02* +X104479164Y-141839887D01* +X104264878Y-141125601D02* +X104264878Y-140768459D01* +X104479164Y-141197030D02* +X103729164Y-140947030D01* +X103729164Y-140947030D02* +X104479164Y-140697030D01* +D11* +X86067142Y-73068328D02* +X86067142Y-71568328D01* +X86067142Y-71568328D02* +X86924285Y-73068328D01* +X86924285Y-73068328D02* +X86924285Y-71568328D01* +X88138571Y-72282614D02* +X87638571Y-72282614D01* +X87638571Y-73068328D02* +X87638571Y-71568328D01* +X87638571Y-71568328D02* +X88352857Y-71568328D01* +X89781428Y-72925471D02* +X89710000Y-72996900D01* +X89710000Y-72996900D02* +X89495714Y-73068328D01* +X89495714Y-73068328D02* +X89352857Y-73068328D01* +X89352857Y-73068328D02* +X89138571Y-72996900D01* +X89138571Y-72996900D02* +X88995714Y-72854042D01* +X88995714Y-72854042D02* +X88924285Y-72711185D01* +X88924285Y-72711185D02* +X88852857Y-72425471D01* +X88852857Y-72425471D02* +X88852857Y-72211185D01* +X88852857Y-72211185D02* +X88924285Y-71925471D01* +X88924285Y-71925471D02* +X88995714Y-71782614D01* +X88995714Y-71782614D02* +X89138571Y-71639757D01* +X89138571Y-71639757D02* +X89352857Y-71568328D01* +X89352857Y-71568328D02* +X89495714Y-71568328D01* +X89495714Y-71568328D02* +X89710000Y-71639757D01* +X89710000Y-71639757D02* +X89781428Y-71711185D01* +X92424285Y-73068328D02* +X91924285Y-72354042D01* +X91567142Y-73068328D02* +X91567142Y-71568328D01* +X91567142Y-71568328D02* +X92138571Y-71568328D01* +X92138571Y-71568328D02* +X92281428Y-71639757D01* +X92281428Y-71639757D02* +X92352857Y-71711185D01* +X92352857Y-71711185D02* +X92424285Y-71854042D01* +X92424285Y-71854042D02* +X92424285Y-72068328D01* +X92424285Y-72068328D02* +X92352857Y-72211185D01* +X92352857Y-72211185D02* +X92281428Y-72282614D01* +X92281428Y-72282614D02* +X92138571Y-72354042D01* +X92138571Y-72354042D02* +X91567142Y-72354042D01* +X93067142Y-72282614D02* +X93567142Y-72282614D01* +X93781428Y-73068328D02* +X93067142Y-73068328D01* +X93067142Y-73068328D02* +X93067142Y-71568328D01* +X93067142Y-71568328D02* +X93781428Y-71568328D01* +X94352857Y-72639757D02* +X95067143Y-72639757D01* +X94210000Y-73068328D02* +X94710000Y-71568328D01* +X94710000Y-71568328D02* +X95210000Y-73068328D01* +X95709999Y-73068328D02* +X95709999Y-71568328D01* +X95709999Y-71568328D02* +X96067142Y-71568328D01* +X96067142Y-71568328D02* +X96281428Y-71639757D01* +X96281428Y-71639757D02* +X96424285Y-71782614D01* +X96424285Y-71782614D02* +X96495714Y-71925471D01* +X96495714Y-71925471D02* +X96567142Y-72211185D01* +X96567142Y-72211185D02* +X96567142Y-72425471D01* +X96567142Y-72425471D02* +X96495714Y-72711185D01* +X96495714Y-72711185D02* +X96424285Y-72854042D01* +X96424285Y-72854042D02* +X96281428Y-72996900D01* +X96281428Y-72996900D02* +X96067142Y-73068328D01* +X96067142Y-73068328D02* +X95709999Y-73068328D01* +X97209999Y-72282614D02* +X97709999Y-72282614D01* +X97924285Y-73068328D02* +X97209999Y-73068328D01* +X97209999Y-73068328D02* +X97209999Y-71568328D01* +X97209999Y-71568328D02* +X97924285Y-71568328D01* +X99424285Y-73068328D02* +X98924285Y-72354042D01* +X98567142Y-73068328D02* +X98567142Y-71568328D01* +X98567142Y-71568328D02* +X99138571Y-71568328D01* +X99138571Y-71568328D02* +X99281428Y-71639757D01* +X99281428Y-71639757D02* +X99352857Y-71711185D01* +X99352857Y-71711185D02* +X99424285Y-71854042D01* +X99424285Y-71854042D02* +X99424285Y-72068328D01* +X99424285Y-72068328D02* +X99352857Y-72211185D01* +X99352857Y-72211185D02* +X99281428Y-72282614D01* +X99281428Y-72282614D02* +X99138571Y-72354042D01* +X99138571Y-72354042D02* +X98567142Y-72354042D01* +D10* +X103747735Y-126339143D02* +X103783450Y-126374857D01* +X103783450Y-126374857D02* +X103819164Y-126482000D01* +X103819164Y-126482000D02* +X103819164Y-126553428D01* +X103819164Y-126553428D02* +X103783450Y-126660571D01* +X103783450Y-126660571D02* +X103712021Y-126732000D01* +X103712021Y-126732000D02* +X103640592Y-126767714D01* +X103640592Y-126767714D02* +X103497735Y-126803428D01* +X103497735Y-126803428D02* +X103390592Y-126803428D01* +X103390592Y-126803428D02* +X103247735Y-126767714D01* +X103247735Y-126767714D02* +X103176307Y-126732000D01* +X103176307Y-126732000D02* +X103104878Y-126660571D01* +X103104878Y-126660571D02* +X103069164Y-126553428D01* +X103069164Y-126553428D02* +X103069164Y-126482000D01* +X103069164Y-126482000D02* +X103104878Y-126374857D01* +X103104878Y-126374857D02* +X103140592Y-126339143D01* +X103819164Y-125624857D02* +X103819164Y-126053428D01* +X103819164Y-125839143D02* +X103069164Y-125839143D01* +X103069164Y-125839143D02* +X103176307Y-125910571D01* +X103176307Y-125910571D02* +X103247735Y-125982000D01* +X103247735Y-125982000D02* +X103283450Y-126053428D01* +X103069164Y-125374857D02* +X103069164Y-124910571D01* +X103069164Y-124910571D02* +X103354878Y-125160571D01* +X103354878Y-125160571D02* +X103354878Y-125053428D01* +X103354878Y-125053428D02* +X103390592Y-124982000D01* +X103390592Y-124982000D02* +X103426307Y-124946285D01* +X103426307Y-124946285D02* +X103497735Y-124910571D01* +X103497735Y-124910571D02* +X103676307Y-124910571D01* +X103676307Y-124910571D02* +X103747735Y-124946285D01* +X103747735Y-124946285D02* +X103783450Y-124982000D01* +X103783450Y-124982000D02* +X103819164Y-125053428D01* +X103819164Y-125053428D02* +X103819164Y-125267714D01* +X103819164Y-125267714D02* +X103783450Y-125339142D01* +X103783450Y-125339142D02* +X103747735Y-125374857D01* +X96647735Y-127162143D02* +X96683450Y-127197857D01* +X96683450Y-127197857D02* +X96719164Y-127305000D01* +X96719164Y-127305000D02* +X96719164Y-127376428D01* +X96719164Y-127376428D02* +X96683450Y-127483571D01* +X96683450Y-127483571D02* +X96612021Y-127555000D01* +X96612021Y-127555000D02* +X96540592Y-127590714D01* +X96540592Y-127590714D02* +X96397735Y-127626428D01* +X96397735Y-127626428D02* +X96290592Y-127626428D01* +X96290592Y-127626428D02* +X96147735Y-127590714D01* +X96147735Y-127590714D02* +X96076307Y-127555000D01* +X96076307Y-127555000D02* +X96004878Y-127483571D01* +X96004878Y-127483571D02* +X95969164Y-127376428D01* +X95969164Y-127376428D02* +X95969164Y-127305000D01* +X95969164Y-127305000D02* +X96004878Y-127197857D01* +X96004878Y-127197857D02* +X96040592Y-127162143D01* +X96719164Y-126447857D02* +X96719164Y-126876428D01* +X96719164Y-126662143D02* +X95969164Y-126662143D01* +X95969164Y-126662143D02* +X96076307Y-126733571D01* +X96076307Y-126733571D02* +X96147735Y-126805000D01* +X96147735Y-126805000D02* +X96183450Y-126876428D01* +X96040592Y-126162142D02* +X96004878Y-126126428D01* +X96004878Y-126126428D02* +X95969164Y-126055000D01* +X95969164Y-126055000D02* +X95969164Y-125876428D01* +X95969164Y-125876428D02* +X96004878Y-125805000D01* +X96004878Y-125805000D02* +X96040592Y-125769285D01* +X96040592Y-125769285D02* +X96112021Y-125733571D01* +X96112021Y-125733571D02* +X96183450Y-125733571D01* +X96183450Y-125733571D02* +X96290592Y-125769285D01* +X96290592Y-125769285D02* +X96719164Y-126197857D01* +X96719164Y-126197857D02* +X96719164Y-125733571D01* +X94640856Y-135268735D02* +X94605142Y-135304450D01* +X94605142Y-135304450D02* +X94497999Y-135340164D01* +X94497999Y-135340164D02* +X94426571Y-135340164D01* +X94426571Y-135340164D02* +X94319428Y-135304450D01* +X94319428Y-135304450D02* +X94247999Y-135233021D01* +X94247999Y-135233021D02* +X94212285Y-135161592D01* +X94212285Y-135161592D02* +X94176571Y-135018735D01* +X94176571Y-135018735D02* +X94176571Y-134911592D01* +X94176571Y-134911592D02* +X94212285Y-134768735D01* +X94212285Y-134768735D02* +X94247999Y-134697307D01* +X94247999Y-134697307D02* +X94319428Y-134625878D01* +X94319428Y-134625878D02* +X94426571Y-134590164D01* +X94426571Y-134590164D02* +X94497999Y-134590164D01* +X94497999Y-134590164D02* +X94605142Y-134625878D01* +X94605142Y-134625878D02* +X94640856Y-134661592D01* +X94926571Y-134661592D02* +X94962285Y-134625878D01* +X94962285Y-134625878D02* +X95033714Y-134590164D01* +X95033714Y-134590164D02* +X95212285Y-134590164D01* +X95212285Y-134590164D02* +X95283714Y-134625878D01* +X95283714Y-134625878D02* +X95319428Y-134661592D01* +X95319428Y-134661592D02* +X95355142Y-134733021D01* +X95355142Y-134733021D02* +X95355142Y-134804450D01* +X95355142Y-134804450D02* +X95319428Y-134911592D01* +X95319428Y-134911592D02* +X94890856Y-135340164D01* +X94890856Y-135340164D02* +X95355142Y-135340164D01* +X95605142Y-134590164D02* +X96069428Y-134590164D01* +X96069428Y-134590164D02* +X95819428Y-134875878D01* +X95819428Y-134875878D02* +X95926571Y-134875878D01* +X95926571Y-134875878D02* +X95998000Y-134911592D01* +X95998000Y-134911592D02* +X96033714Y-134947307D01* +X96033714Y-134947307D02* +X96069428Y-135018735D01* +X96069428Y-135018735D02* +X96069428Y-135197307D01* +X96069428Y-135197307D02* +X96033714Y-135268735D01* +X96033714Y-135268735D02* +X95998000Y-135304450D01* +X95998000Y-135304450D02* +X95926571Y-135340164D01* +X95926571Y-135340164D02* +X95712285Y-135340164D01* +X95712285Y-135340164D02* +X95640857Y-135304450D01* +X95640857Y-135304450D02* +X95605142Y-135268735D01* +X103454999Y-129259164D02* +X103204999Y-128902021D01* +X103026428Y-129259164D02* +X103026428Y-128509164D01* +X103026428Y-128509164D02* +X103312142Y-128509164D01* +X103312142Y-128509164D02* +X103383571Y-128544878D01* +X103383571Y-128544878D02* +X103419285Y-128580592D01* +X103419285Y-128580592D02* +X103454999Y-128652021D01* +X103454999Y-128652021D02* +X103454999Y-128759164D01* +X103454999Y-128759164D02* +X103419285Y-128830592D01* +X103419285Y-128830592D02* +X103383571Y-128866307D01* +X103383571Y-128866307D02* +X103312142Y-128902021D01* +X103312142Y-128902021D02* +X103026428Y-128902021D01* +X104169285Y-129259164D02* +X103740714Y-129259164D01* +X103954999Y-129259164D02* +X103954999Y-128509164D01* +X103954999Y-128509164D02* +X103883571Y-128616307D01* +X103883571Y-128616307D02* +X103812142Y-128687735D01* +X103812142Y-128687735D02* +X103740714Y-128723450D01* +X94954999Y-127587735D02* +X94919285Y-127623450D01* +X94919285Y-127623450D02* +X94812142Y-127659164D01* +X94812142Y-127659164D02* +X94740714Y-127659164D01* +X94740714Y-127659164D02* +X94633571Y-127623450D01* +X94633571Y-127623450D02* +X94562142Y-127552021D01* +X94562142Y-127552021D02* +X94526428Y-127480592D01* +X94526428Y-127480592D02* +X94490714Y-127337735D01* +X94490714Y-127337735D02* +X94490714Y-127230592D01* +X94490714Y-127230592D02* +X94526428Y-127087735D01* +X94526428Y-127087735D02* +X94562142Y-127016307D01* +X94562142Y-127016307D02* +X94633571Y-126944878D01* +X94633571Y-126944878D02* +X94740714Y-126909164D01* +X94740714Y-126909164D02* +X94812142Y-126909164D01* +X94812142Y-126909164D02* +X94919285Y-126944878D01* +X94919285Y-126944878D02* +X94954999Y-126980592D01* +X95312142Y-127659164D02* +X95454999Y-127659164D01* +X95454999Y-127659164D02* +X95526428Y-127623450D01* +X95526428Y-127623450D02* +X95562142Y-127587735D01* +X95562142Y-127587735D02* +X95633571Y-127480592D01* +X95633571Y-127480592D02* +X95669285Y-127337735D01* +X95669285Y-127337735D02* +X95669285Y-127052021D01* +X95669285Y-127052021D02* +X95633571Y-126980592D01* +X95633571Y-126980592D02* +X95597857Y-126944878D01* +X95597857Y-126944878D02* +X95526428Y-126909164D01* +X95526428Y-126909164D02* +X95383571Y-126909164D01* +X95383571Y-126909164D02* +X95312142Y-126944878D01* +X95312142Y-126944878D02* +X95276428Y-126980592D01* +X95276428Y-126980592D02* +X95240714Y-127052021D01* +X95240714Y-127052021D02* +X95240714Y-127230592D01* +X95240714Y-127230592D02* +X95276428Y-127302021D01* +X95276428Y-127302021D02* +X95312142Y-127337735D01* +X95312142Y-127337735D02* +X95383571Y-127373450D01* +X95383571Y-127373450D02* +X95526428Y-127373450D01* +X95526428Y-127373450D02* +X95597857Y-127337735D01* +X95597857Y-127337735D02* +X95633571Y-127302021D01* +X95633571Y-127302021D02* +X95669285Y-127230592D01* +X102236999Y-133697735D02* +X102201285Y-133733450D01* +X102201285Y-133733450D02* +X102094142Y-133769164D01* +X102094142Y-133769164D02* +X102022714Y-133769164D01* +X102022714Y-133769164D02* +X101915571Y-133733450D01* +X101915571Y-133733450D02* +X101844142Y-133662021D01* +X101844142Y-133662021D02* +X101808428Y-133590592D01* +X101808428Y-133590592D02* +X101772714Y-133447735D01* +X101772714Y-133447735D02* +X101772714Y-133340592D01* +X101772714Y-133340592D02* +X101808428Y-133197735D01* +X101808428Y-133197735D02* +X101844142Y-133126307D01* +X101844142Y-133126307D02* +X101915571Y-133054878D01* +X101915571Y-133054878D02* +X102022714Y-133019164D01* +X102022714Y-133019164D02* +X102094142Y-133019164D01* +X102094142Y-133019164D02* +X102201285Y-133054878D01* +X102201285Y-133054878D02* +X102236999Y-133090592D01* +X102522714Y-133090592D02* +X102558428Y-133054878D01* +X102558428Y-133054878D02* +X102629857Y-133019164D01* +X102629857Y-133019164D02* +X102808428Y-133019164D01* +X102808428Y-133019164D02* +X102879857Y-133054878D01* +X102879857Y-133054878D02* +X102915571Y-133090592D01* +X102915571Y-133090592D02* +X102951285Y-133162021D01* +X102951285Y-133162021D02* +X102951285Y-133233450D01* +X102951285Y-133233450D02* +X102915571Y-133340592D01* +X102915571Y-133340592D02* +X102486999Y-133769164D01* +X102486999Y-133769164D02* +X102951285Y-133769164D01* +X106566735Y-131316000D02* +X106602450Y-131351714D01* +X106602450Y-131351714D02* +X106638164Y-131458857D01* +X106638164Y-131458857D02* +X106638164Y-131530285D01* +X106638164Y-131530285D02* +X106602450Y-131637428D01* +X106602450Y-131637428D02* +X106531021Y-131708857D01* +X106531021Y-131708857D02* +X106459592Y-131744571D01* +X106459592Y-131744571D02* +X106316735Y-131780285D01* +X106316735Y-131780285D02* +X106209592Y-131780285D01* +X106209592Y-131780285D02* +X106066735Y-131744571D01* +X106066735Y-131744571D02* +X105995307Y-131708857D01* +X105995307Y-131708857D02* +X105923878Y-131637428D01* +X105923878Y-131637428D02* +X105888164Y-131530285D01* +X105888164Y-131530285D02* +X105888164Y-131458857D01* +X105888164Y-131458857D02* +X105923878Y-131351714D01* +X105923878Y-131351714D02* +X105959592Y-131316000D01* +X105888164Y-130637428D02* +X105888164Y-130994571D01* +X105888164Y-130994571D02* +X106245307Y-131030285D01* +X106245307Y-131030285D02* +X106209592Y-130994571D01* +X106209592Y-130994571D02* +X106173878Y-130923143D01* +X106173878Y-130923143D02* +X106173878Y-130744571D01* +X106173878Y-130744571D02* +X106209592Y-130673143D01* +X106209592Y-130673143D02* +X106245307Y-130637428D01* +X106245307Y-130637428D02* +X106316735Y-130601714D01* +X106316735Y-130601714D02* +X106495307Y-130601714D01* +X106495307Y-130601714D02* +X106566735Y-130637428D01* +X106566735Y-130637428D02* +X106602450Y-130673143D01* +X106602450Y-130673143D02* +X106638164Y-130744571D01* +X106638164Y-130744571D02* +X106638164Y-130923143D01* +X106638164Y-130923143D02* +X106602450Y-130994571D01* +X106602450Y-130994571D02* +X106566735Y-131030285D01* +X85069164Y-136266428D02* +X85069164Y-135837857D01* +X85819164Y-136052142D02* +X85069164Y-136052142D01* +X85819164Y-135587856D02* +X85069164Y-135587856D01* +X85069164Y-135587856D02* +X85069164Y-135302142D01* +X85069164Y-135302142D02* +X85104878Y-135230713D01* +X85104878Y-135230713D02* +X85140592Y-135194999D01* +X85140592Y-135194999D02* +X85212021Y-135159285D01* +X85212021Y-135159285D02* +X85319164Y-135159285D01* +X85319164Y-135159285D02* +X85390592Y-135194999D01* +X85390592Y-135194999D02* +X85426307Y-135230713D01* +X85426307Y-135230713D02* +X85462021Y-135302142D01* +X85462021Y-135302142D02* +X85462021Y-135587856D01* +X85819164Y-134444999D02* +X85819164Y-134873570D01* +X85819164Y-134659285D02* +X85069164Y-134659285D01* +X85069164Y-134659285D02* +X85176307Y-134730713D01* +X85176307Y-134730713D02* +X85247735Y-134802142D01* +X85247735Y-134802142D02* +X85283450Y-134873570D01* +X83669164Y-130891428D02* +X84276307Y-130891428D01* +X84276307Y-130891428D02* +X84347735Y-130855714D01* +X84347735Y-130855714D02* +X84383450Y-130820000D01* +X84383450Y-130820000D02* +X84419164Y-130748571D01* +X84419164Y-130748571D02* +X84419164Y-130605714D01* +X84419164Y-130605714D02* +X84383450Y-130534285D01* +X84383450Y-130534285D02* +X84347735Y-130498571D01* +X84347735Y-130498571D02* +X84276307Y-130462857D01* +X84276307Y-130462857D02* +X83669164Y-130462857D01* +X84419164Y-129712857D02* +X84419164Y-130141428D01* +X84419164Y-129927143D02* +X83669164Y-129927143D01* +X83669164Y-129927143D02* +X83776307Y-129998571D01* +X83776307Y-129998571D02* +X83847735Y-130070000D01* +X83847735Y-130070000D02* +X83883450Y-130141428D01* +X90747735Y-136602143D02* +X90783450Y-136637857D01* +X90783450Y-136637857D02* +X90819164Y-136745000D01* +X90819164Y-136745000D02* +X90819164Y-136816428D01* +X90819164Y-136816428D02* +X90783450Y-136923571D01* +X90783450Y-136923571D02* +X90712021Y-136995000D01* +X90712021Y-136995000D02* +X90640592Y-137030714D01* +X90640592Y-137030714D02* +X90497735Y-137066428D01* +X90497735Y-137066428D02* +X90390592Y-137066428D01* +X90390592Y-137066428D02* +X90247735Y-137030714D01* +X90247735Y-137030714D02* +X90176307Y-136995000D01* +X90176307Y-136995000D02* +X90104878Y-136923571D01* +X90104878Y-136923571D02* +X90069164Y-136816428D01* +X90069164Y-136816428D02* +X90069164Y-136745000D01* +X90069164Y-136745000D02* +X90104878Y-136637857D01* +X90104878Y-136637857D02* +X90140592Y-136602143D01* +X90140592Y-136316428D02* +X90104878Y-136280714D01* +X90104878Y-136280714D02* +X90069164Y-136209286D01* +X90069164Y-136209286D02* +X90069164Y-136030714D01* +X90069164Y-136030714D02* +X90104878Y-135959286D01* +X90104878Y-135959286D02* +X90140592Y-135923571D01* +X90140592Y-135923571D02* +X90212021Y-135887857D01* +X90212021Y-135887857D02* +X90283450Y-135887857D01* +X90283450Y-135887857D02* +X90390592Y-135923571D01* +X90390592Y-135923571D02* +X90819164Y-136352143D01* +X90819164Y-136352143D02* +X90819164Y-135887857D01* +X90069164Y-135245000D02* +X90069164Y-135387857D01* +X90069164Y-135387857D02* +X90104878Y-135459285D01* +X90104878Y-135459285D02* +X90140592Y-135495000D01* +X90140592Y-135495000D02* +X90247735Y-135566428D01* +X90247735Y-135566428D02* +X90390592Y-135602142D01* +X90390592Y-135602142D02* +X90676307Y-135602142D01* +X90676307Y-135602142D02* +X90747735Y-135566428D01* +X90747735Y-135566428D02* +X90783450Y-135530714D01* +X90783450Y-135530714D02* +X90819164Y-135459285D01* +X90819164Y-135459285D02* +X90819164Y-135316428D01* +X90819164Y-135316428D02* +X90783450Y-135245000D01* +X90783450Y-135245000D02* +X90747735Y-135209285D01* +X90747735Y-135209285D02* +X90676307Y-135173571D01* +X90676307Y-135173571D02* +X90497735Y-135173571D01* +X90497735Y-135173571D02* +X90426307Y-135209285D01* +X90426307Y-135209285D02* +X90390592Y-135245000D01* +X90390592Y-135245000D02* +X90354878Y-135316428D01* +X90354878Y-135316428D02* +X90354878Y-135459285D01* +X90354878Y-135459285D02* +X90390592Y-135530714D01* +X90390592Y-135530714D02* +X90426307Y-135566428D01* +X90426307Y-135566428D02* +X90497735Y-135602142D01* +X103633999Y-132220735D02* +X103598285Y-132256450D01* +X103598285Y-132256450D02* +X103491142Y-132292164D01* +X103491142Y-132292164D02* +X103419714Y-132292164D01* +X103419714Y-132292164D02* +X103312571Y-132256450D01* +X103312571Y-132256450D02* +X103241142Y-132185021D01* +X103241142Y-132185021D02* +X103205428Y-132113592D01* +X103205428Y-132113592D02* +X103169714Y-131970735D01* +X103169714Y-131970735D02* +X103169714Y-131863592D01* +X103169714Y-131863592D02* +X103205428Y-131720735D01* +X103205428Y-131720735D02* +X103241142Y-131649307D01* +X103241142Y-131649307D02* +X103312571Y-131577878D01* +X103312571Y-131577878D02* +X103419714Y-131542164D01* +X103419714Y-131542164D02* +X103491142Y-131542164D01* +X103491142Y-131542164D02* +X103598285Y-131577878D01* +X103598285Y-131577878D02* +X103633999Y-131613592D01* +X104276857Y-131792164D02* +X104276857Y-132292164D01* +X104098285Y-131506450D02* +X103919714Y-132042164D01* +X103919714Y-132042164D02* +X104383999Y-132042164D01* +X103633999Y-130823735D02* +X103598285Y-130859450D01* +X103598285Y-130859450D02* +X103491142Y-130895164D01* +X103491142Y-130895164D02* +X103419714Y-130895164D01* +X103419714Y-130895164D02* +X103312571Y-130859450D01* +X103312571Y-130859450D02* +X103241142Y-130788021D01* +X103241142Y-130788021D02* +X103205428Y-130716592D01* +X103205428Y-130716592D02* +X103169714Y-130573735D01* +X103169714Y-130573735D02* +X103169714Y-130466592D01* +X103169714Y-130466592D02* +X103205428Y-130323735D01* +X103205428Y-130323735D02* +X103241142Y-130252307D01* +X103241142Y-130252307D02* +X103312571Y-130180878D01* +X103312571Y-130180878D02* +X103419714Y-130145164D01* +X103419714Y-130145164D02* +X103491142Y-130145164D01* +X103491142Y-130145164D02* +X103598285Y-130180878D01* +X103598285Y-130180878D02* +X103633999Y-130216592D01* +X104276857Y-130145164D02* +X104133999Y-130145164D01* +X104133999Y-130145164D02* +X104062571Y-130180878D01* +X104062571Y-130180878D02* +X104026857Y-130216592D01* +X104026857Y-130216592D02* +X103955428Y-130323735D01* +X103955428Y-130323735D02* +X103919714Y-130466592D01* +X103919714Y-130466592D02* +X103919714Y-130752307D01* +X103919714Y-130752307D02* +X103955428Y-130823735D01* +X103955428Y-130823735D02* +X103991142Y-130859450D01* +X103991142Y-130859450D02* +X104062571Y-130895164D01* +X104062571Y-130895164D02* +X104205428Y-130895164D01* +X104205428Y-130895164D02* +X104276857Y-130859450D01* +X104276857Y-130859450D02* +X104312571Y-130823735D01* +X104312571Y-130823735D02* +X104348285Y-130752307D01* +X104348285Y-130752307D02* +X104348285Y-130573735D01* +X104348285Y-130573735D02* +X104312571Y-130502307D01* +X104312571Y-130502307D02* +X104276857Y-130466592D01* +X104276857Y-130466592D02* +X104205428Y-130430878D01* +X104205428Y-130430878D02* +X104062571Y-130430878D01* +X104062571Y-130430878D02* +X103991142Y-130466592D01* +X103991142Y-130466592D02* +X103955428Y-130502307D01* +X103955428Y-130502307D02* +X103919714Y-130573735D01* +D12* +X91835000Y-106307478D02* +X92192143Y-106307478D01* +X91763571Y-106521764D02* +X92013571Y-105771764D01* +X92013571Y-105771764D02* +X92263571Y-106521764D01* +X92513571Y-106128907D02* +X92763571Y-106128907D01* +X92870714Y-106521764D02* +X92513571Y-106521764D01* +X92513571Y-106521764D02* +X92513571Y-105771764D01* +X92513571Y-105771764D02* +X92870714Y-105771764D01* +X93584999Y-106521764D02* +X93156428Y-106521764D01* +X93370713Y-106521764D02* +X93370713Y-105771764D01* +X93370713Y-105771764D02* +X93299285Y-105878907D01* +X93299285Y-105878907D02* +X93227856Y-105950335D01* +X93227856Y-105950335D02* +X93156428Y-105986050D01* +D10* +X97433571Y-122259164D02* +X97862143Y-122259164D01* +X97647857Y-123009164D02* +X97647857Y-122259164D01* +X98112143Y-123009164D02* +X98112143Y-122259164D01* +X98112143Y-122259164D02* +X98397857Y-122259164D01* +X98397857Y-122259164D02* +X98469286Y-122294878D01* +X98469286Y-122294878D02* +X98505000Y-122330592D01* +X98505000Y-122330592D02* +X98540714Y-122402021D01* +X98540714Y-122402021D02* +X98540714Y-122509164D01* +X98540714Y-122509164D02* +X98505000Y-122580592D01* +X98505000Y-122580592D02* +X98469286Y-122616307D01* +X98469286Y-122616307D02* +X98397857Y-122652021D01* +X98397857Y-122652021D02* +X98112143Y-122652021D01* +X99183572Y-122509164D02* +X99183572Y-123009164D01* +X99005000Y-122223450D02* +X98826429Y-122759164D01* +X98826429Y-122759164D02* +X99290714Y-122759164D01* +X94616999Y-137935735D02* +X94581285Y-137971450D01* +X94581285Y-137971450D02* +X94474142Y-138007164D01* +X94474142Y-138007164D02* +X94402714Y-138007164D01* +X94402714Y-138007164D02* +X94295571Y-137971450D01* +X94295571Y-137971450D02* +X94224142Y-137900021D01* +X94224142Y-137900021D02* +X94188428Y-137828592D01* +X94188428Y-137828592D02* +X94152714Y-137685735D01* +X94152714Y-137685735D02* +X94152714Y-137578592D01* +X94152714Y-137578592D02* +X94188428Y-137435735D01* +X94188428Y-137435735D02* +X94224142Y-137364307D01* +X94224142Y-137364307D02* +X94295571Y-137292878D01* +X94295571Y-137292878D02* +X94402714Y-137257164D01* +X94402714Y-137257164D02* +X94474142Y-137257164D01* +X94474142Y-137257164D02* +X94581285Y-137292878D01* +X94581285Y-137292878D02* +X94616999Y-137328592D01* +X95331285Y-138007164D02* +X94902714Y-138007164D01* +X95116999Y-138007164D02* +X95116999Y-137257164D01* +X95116999Y-137257164D02* +X95045571Y-137364307D01* +X95045571Y-137364307D02* +X94974142Y-137435735D01* +X94974142Y-137435735D02* +X94902714Y-137471450D01* +X95136735Y-129792000D02* +X95172450Y-129827714D01* +X95172450Y-129827714D02* +X95208164Y-129934857D01* +X95208164Y-129934857D02* +X95208164Y-130006285D01* +X95208164Y-130006285D02* +X95172450Y-130113428D01* +X95172450Y-130113428D02* +X95101021Y-130184857D01* +X95101021Y-130184857D02* +X95029592Y-130220571D01* +X95029592Y-130220571D02* +X94886735Y-130256285D01* +X94886735Y-130256285D02* +X94779592Y-130256285D01* +X94779592Y-130256285D02* +X94636735Y-130220571D01* +X94636735Y-130220571D02* +X94565307Y-130184857D01* +X94565307Y-130184857D02* +X94493878Y-130113428D01* +X94493878Y-130113428D02* +X94458164Y-130006285D01* +X94458164Y-130006285D02* +X94458164Y-129934857D01* +X94458164Y-129934857D02* +X94493878Y-129827714D01* +X94493878Y-129827714D02* +X94529592Y-129792000D01* +X94458164Y-129542000D02* +X94458164Y-129042000D01* +X94458164Y-129042000D02* +X95208164Y-129363428D01* +X82944735Y-127162143D02* +X82980450Y-127197857D01* +X82980450Y-127197857D02* +X83016164Y-127305000D01* +X83016164Y-127305000D02* +X83016164Y-127376428D01* +X83016164Y-127376428D02* +X82980450Y-127483571D01* +X82980450Y-127483571D02* +X82909021Y-127555000D01* +X82909021Y-127555000D02* +X82837592Y-127590714D01* +X82837592Y-127590714D02* +X82694735Y-127626428D01* +X82694735Y-127626428D02* +X82587592Y-127626428D01* +X82587592Y-127626428D02* +X82444735Y-127590714D01* +X82444735Y-127590714D02* +X82373307Y-127555000D01* +X82373307Y-127555000D02* +X82301878Y-127483571D01* +X82301878Y-127483571D02* +X82266164Y-127376428D01* +X82266164Y-127376428D02* +X82266164Y-127305000D01* +X82266164Y-127305000D02* +X82301878Y-127197857D01* +X82301878Y-127197857D02* +X82337592Y-127162143D01* +X82337592Y-126876428D02* +X82301878Y-126840714D01* +X82301878Y-126840714D02* +X82266164Y-126769286D01* +X82266164Y-126769286D02* +X82266164Y-126590714D01* +X82266164Y-126590714D02* +X82301878Y-126519286D01* +X82301878Y-126519286D02* +X82337592Y-126483571D01* +X82337592Y-126483571D02* +X82409021Y-126447857D01* +X82409021Y-126447857D02* +X82480450Y-126447857D01* +X82480450Y-126447857D02* +X82587592Y-126483571D01* +X82587592Y-126483571D02* +X83016164Y-126912143D01* +X83016164Y-126912143D02* +X83016164Y-126447857D01* +X82337592Y-126162142D02* +X82301878Y-126126428D01* +X82301878Y-126126428D02* +X82266164Y-126055000D01* +X82266164Y-126055000D02* +X82266164Y-125876428D01* +X82266164Y-125876428D02* +X82301878Y-125805000D01* +X82301878Y-125805000D02* +X82337592Y-125769285D01* +X82337592Y-125769285D02* +X82409021Y-125733571D01* +X82409021Y-125733571D02* +X82480450Y-125733571D01* +X82480450Y-125733571D02* +X82587592Y-125769285D01* +X82587592Y-125769285D02* +X83016164Y-126197857D01* +X83016164Y-126197857D02* +X83016164Y-125733571D01* +X95054999Y-126259164D02* +X94804999Y-125902021D01* +X94626428Y-126259164D02* +X94626428Y-125509164D01* +X94626428Y-125509164D02* +X94912142Y-125509164D01* +X94912142Y-125509164D02* +X94983571Y-125544878D01* +X94983571Y-125544878D02* +X95019285Y-125580592D01* +X95019285Y-125580592D02* +X95054999Y-125652021D01* +X95054999Y-125652021D02* +X95054999Y-125759164D01* +X95054999Y-125759164D02* +X95019285Y-125830592D01* +X95019285Y-125830592D02* +X94983571Y-125866307D01* +X94983571Y-125866307D02* +X94912142Y-125902021D01* +X94912142Y-125902021D02* +X94626428Y-125902021D01* +X95340714Y-125580592D02* +X95376428Y-125544878D01* +X95376428Y-125544878D02* +X95447857Y-125509164D01* +X95447857Y-125509164D02* +X95626428Y-125509164D01* +X95626428Y-125509164D02* +X95697857Y-125544878D01* +X95697857Y-125544878D02* +X95733571Y-125580592D01* +X95733571Y-125580592D02* +X95769285Y-125652021D01* +X95769285Y-125652021D02* +X95769285Y-125723450D01* +X95769285Y-125723450D02* +X95733571Y-125830592D01* +X95733571Y-125830592D02* +X95304999Y-126259164D01* +X95304999Y-126259164D02* +X95769285Y-126259164D01* +X81802535Y-127177343D02* +X81838250Y-127213057D01* +X81838250Y-127213057D02* +X81873964Y-127320200D01* +X81873964Y-127320200D02* +X81873964Y-127391628D01* +X81873964Y-127391628D02* +X81838250Y-127498771D01* +X81838250Y-127498771D02* +X81766821Y-127570200D01* +X81766821Y-127570200D02* +X81695392Y-127605914D01* +X81695392Y-127605914D02* +X81552535Y-127641628D01* +X81552535Y-127641628D02* +X81445392Y-127641628D01* +X81445392Y-127641628D02* +X81302535Y-127605914D01* +X81302535Y-127605914D02* +X81231107Y-127570200D01* +X81231107Y-127570200D02* +X81159678Y-127498771D01* +X81159678Y-127498771D02* +X81123964Y-127391628D01* +X81123964Y-127391628D02* +X81123964Y-127320200D01* +X81123964Y-127320200D02* +X81159678Y-127213057D01* +X81159678Y-127213057D02* +X81195392Y-127177343D01* +X81195392Y-126891628D02* +X81159678Y-126855914D01* +X81159678Y-126855914D02* +X81123964Y-126784486D01* +X81123964Y-126784486D02* +X81123964Y-126605914D01* +X81123964Y-126605914D02* +X81159678Y-126534486D01* +X81159678Y-126534486D02* +X81195392Y-126498771D01* +X81195392Y-126498771D02* +X81266821Y-126463057D01* +X81266821Y-126463057D02* +X81338250Y-126463057D01* +X81338250Y-126463057D02* +X81445392Y-126498771D01* +X81445392Y-126498771D02* +X81873964Y-126927343D01* +X81873964Y-126927343D02* +X81873964Y-126463057D01* +X81873964Y-125748771D02* +X81873964Y-126177342D01* +X81873964Y-125963057D02* +X81123964Y-125963057D01* +X81123964Y-125963057D02* +X81231107Y-126034485D01* +X81231107Y-126034485D02* +X81302535Y-126105914D01* +X81302535Y-126105914D02* +X81338250Y-126177342D01* +X94489999Y-128664735D02* +X94454285Y-128700450D01* +X94454285Y-128700450D02* +X94347142Y-128736164D01* +X94347142Y-128736164D02* +X94275714Y-128736164D01* +X94275714Y-128736164D02* +X94168571Y-128700450D01* +X94168571Y-128700450D02* +X94097142Y-128629021D01* +X94097142Y-128629021D02* +X94061428Y-128557592D01* +X94061428Y-128557592D02* +X94025714Y-128414735D01* +X94025714Y-128414735D02* +X94025714Y-128307592D01* +X94025714Y-128307592D02* +X94061428Y-128164735D01* +X94061428Y-128164735D02* +X94097142Y-128093307D01* +X94097142Y-128093307D02* +X94168571Y-128021878D01* +X94168571Y-128021878D02* +X94275714Y-127986164D01* +X94275714Y-127986164D02* +X94347142Y-127986164D01* +X94347142Y-127986164D02* +X94454285Y-128021878D01* +X94454285Y-128021878D02* +X94489999Y-128057592D01* +X94918571Y-128307592D02* +X94847142Y-128271878D01* +X94847142Y-128271878D02* +X94811428Y-128236164D01* +X94811428Y-128236164D02* +X94775714Y-128164735D01* +X94775714Y-128164735D02* +X94775714Y-128129021D01* +X94775714Y-128129021D02* +X94811428Y-128057592D01* +X94811428Y-128057592D02* +X94847142Y-128021878D01* +X94847142Y-128021878D02* +X94918571Y-127986164D01* +X94918571Y-127986164D02* +X95061428Y-127986164D01* +X95061428Y-127986164D02* +X95132857Y-128021878D01* +X95132857Y-128021878D02* +X95168571Y-128057592D01* +X95168571Y-128057592D02* +X95204285Y-128129021D01* +X95204285Y-128129021D02* +X95204285Y-128164735D01* +X95204285Y-128164735D02* +X95168571Y-128236164D01* +X95168571Y-128236164D02* +X95132857Y-128271878D01* +X95132857Y-128271878D02* +X95061428Y-128307592D01* +X95061428Y-128307592D02* +X94918571Y-128307592D01* +X94918571Y-128307592D02* +X94847142Y-128343307D01* +X94847142Y-128343307D02* +X94811428Y-128379021D01* +X94811428Y-128379021D02* +X94775714Y-128450450D01* +X94775714Y-128450450D02* +X94775714Y-128593307D01* +X94775714Y-128593307D02* +X94811428Y-128664735D01* +X94811428Y-128664735D02* +X94847142Y-128700450D01* +X94847142Y-128700450D02* +X94918571Y-128736164D01* +X94918571Y-128736164D02* +X95061428Y-128736164D01* +X95061428Y-128736164D02* +X95132857Y-128700450D01* +X95132857Y-128700450D02* +X95168571Y-128664735D01* +X95168571Y-128664735D02* +X95204285Y-128593307D01* +X95204285Y-128593307D02* +X95204285Y-128450450D01* +X95204285Y-128450450D02* +X95168571Y-128379021D01* +X95168571Y-128379021D02* +X95132857Y-128343307D01* +X95132857Y-128343307D02* +X95061428Y-128307592D01* +X107293000Y-123922164D02* +X107293000Y-124457878D01* +X107293000Y-124457878D02* +X107257285Y-124565021D01* +X107257285Y-124565021D02* +X107185857Y-124636450D01* +X107185857Y-124636450D02* +X107078714Y-124672164D01* +X107078714Y-124672164D02* +X107007285Y-124672164D01* +X107578714Y-123922164D02* +X108043000Y-123922164D01* +X108043000Y-123922164D02* +X107793000Y-124207878D01* +X107793000Y-124207878D02* +X107900143Y-124207878D01* +X107900143Y-124207878D02* +X107971572Y-124243592D01* +X107971572Y-124243592D02* +X108007286Y-124279307D01* +X108007286Y-124279307D02* +X108043000Y-124350735D01* +X108043000Y-124350735D02* +X108043000Y-124529307D01* +X108043000Y-124529307D02* +X108007286Y-124600735D01* +X108007286Y-124600735D02* +X107971572Y-124636450D01* +X107971572Y-124636450D02* +X107900143Y-124672164D01* +X107900143Y-124672164D02* +X107685857Y-124672164D01* +X107685857Y-124672164D02* +X107614429Y-124636450D01* +X107614429Y-124636450D02* +X107578714Y-124600735D01* +X94640856Y-136792735D02* +X94605142Y-136828450D01* +X94605142Y-136828450D02* +X94497999Y-136864164D01* +X94497999Y-136864164D02* +X94426571Y-136864164D01* +X94426571Y-136864164D02* +X94319428Y-136828450D01* +X94319428Y-136828450D02* +X94247999Y-136757021D01* +X94247999Y-136757021D02* +X94212285Y-136685592D01* +X94212285Y-136685592D02* +X94176571Y-136542735D01* +X94176571Y-136542735D02* +X94176571Y-136435592D01* +X94176571Y-136435592D02* +X94212285Y-136292735D01* +X94212285Y-136292735D02* +X94247999Y-136221307D01* +X94247999Y-136221307D02* +X94319428Y-136149878D01* +X94319428Y-136149878D02* +X94426571Y-136114164D01* +X94426571Y-136114164D02* +X94497999Y-136114164D01* +X94497999Y-136114164D02* +X94605142Y-136149878D01* +X94605142Y-136149878D02* +X94640856Y-136185592D01* +X94926571Y-136185592D02* +X94962285Y-136149878D01* +X94962285Y-136149878D02* +X95033714Y-136114164D01* +X95033714Y-136114164D02* +X95212285Y-136114164D01* +X95212285Y-136114164D02* +X95283714Y-136149878D01* +X95283714Y-136149878D02* +X95319428Y-136185592D01* +X95319428Y-136185592D02* +X95355142Y-136257021D01* +X95355142Y-136257021D02* +X95355142Y-136328450D01* +X95355142Y-136328450D02* +X95319428Y-136435592D01* +X95319428Y-136435592D02* +X94890856Y-136864164D01* +X94890856Y-136864164D02* +X95355142Y-136864164D01* +X95998000Y-136364164D02* +X95998000Y-136864164D01* +X95819428Y-136078450D02* +X95640857Y-136614164D01* +X95640857Y-136614164D02* +X96105142Y-136614164D01* +X95263735Y-132967000D02* +X95299450Y-133002714D01* +X95299450Y-133002714D02* +X95335164Y-133109857D01* +X95335164Y-133109857D02* +X95335164Y-133181285D01* +X95335164Y-133181285D02* +X95299450Y-133288428D01* +X95299450Y-133288428D02* +X95228021Y-133359857D01* +X95228021Y-133359857D02* +X95156592Y-133395571D01* +X95156592Y-133395571D02* +X95013735Y-133431285D01* +X95013735Y-133431285D02* +X94906592Y-133431285D01* +X94906592Y-133431285D02* +X94763735Y-133395571D01* +X94763735Y-133395571D02* +X94692307Y-133359857D01* +X94692307Y-133359857D02* +X94620878Y-133288428D01* +X94620878Y-133288428D02* +X94585164Y-133181285D01* +X94585164Y-133181285D02* +X94585164Y-133109857D01* +X94585164Y-133109857D02* +X94620878Y-133002714D01* +X94620878Y-133002714D02* +X94656592Y-132967000D01* +X94585164Y-132717000D02* +X94585164Y-132252714D01* +X94585164Y-132252714D02* +X94870878Y-132502714D01* +X94870878Y-132502714D02* +X94870878Y-132395571D01* +X94870878Y-132395571D02* +X94906592Y-132324143D01* +X94906592Y-132324143D02* +X94942307Y-132288428D01* +X94942307Y-132288428D02* +X95013735Y-132252714D01* +X95013735Y-132252714D02* +X95192307Y-132252714D01* +X95192307Y-132252714D02* +X95263735Y-132288428D01* +X95263735Y-132288428D02* +X95299450Y-132324143D01* +X95299450Y-132324143D02* +X95335164Y-132395571D01* +X95335164Y-132395571D02* +X95335164Y-132609857D01* +X95335164Y-132609857D02* +X95299450Y-132681285D01* +X95299450Y-132681285D02* +X95263735Y-132717000D01* +X91973856Y-130950735D02* +X91938142Y-130986450D01* +X91938142Y-130986450D02* +X91830999Y-131022164D01* +X91830999Y-131022164D02* +X91759571Y-131022164D01* +X91759571Y-131022164D02* +X91652428Y-130986450D01* +X91652428Y-130986450D02* +X91580999Y-130915021D01* +X91580999Y-130915021D02* +X91545285Y-130843592D01* +X91545285Y-130843592D02* +X91509571Y-130700735D01* +X91509571Y-130700735D02* +X91509571Y-130593592D01* +X91509571Y-130593592D02* +X91545285Y-130450735D01* +X91545285Y-130450735D02* +X91580999Y-130379307D01* +X91580999Y-130379307D02* +X91652428Y-130307878D01* +X91652428Y-130307878D02* +X91759571Y-130272164D01* +X91759571Y-130272164D02* +X91830999Y-130272164D01* +X91830999Y-130272164D02* +X91938142Y-130307878D01* +X91938142Y-130307878D02* +X91973856Y-130343592D01* +X92688142Y-131022164D02* +X92259571Y-131022164D01* +X92473856Y-131022164D02* +X92473856Y-130272164D01* +X92473856Y-130272164D02* +X92402428Y-130379307D01* +X92402428Y-130379307D02* +X92330999Y-130450735D01* +X92330999Y-130450735D02* +X92259571Y-130486450D01* +X93116714Y-130593592D02* +X93045285Y-130557878D01* +X93045285Y-130557878D02* +X93009571Y-130522164D01* +X93009571Y-130522164D02* +X92973857Y-130450735D01* +X92973857Y-130450735D02* +X92973857Y-130415021D01* +X92973857Y-130415021D02* +X93009571Y-130343592D01* +X93009571Y-130343592D02* +X93045285Y-130307878D01* +X93045285Y-130307878D02* +X93116714Y-130272164D01* +X93116714Y-130272164D02* +X93259571Y-130272164D01* +X93259571Y-130272164D02* +X93331000Y-130307878D01* +X93331000Y-130307878D02* +X93366714Y-130343592D01* +X93366714Y-130343592D02* +X93402428Y-130415021D01* +X93402428Y-130415021D02* +X93402428Y-130450735D01* +X93402428Y-130450735D02* +X93366714Y-130522164D01* +X93366714Y-130522164D02* +X93331000Y-130557878D01* +X93331000Y-130557878D02* +X93259571Y-130593592D01* +X93259571Y-130593592D02* +X93116714Y-130593592D01* +X93116714Y-130593592D02* +X93045285Y-130629307D01* +X93045285Y-130629307D02* +X93009571Y-130665021D01* +X93009571Y-130665021D02* +X92973857Y-130736450D01* +X92973857Y-130736450D02* +X92973857Y-130879307D01* +X92973857Y-130879307D02* +X93009571Y-130950735D01* +X93009571Y-130950735D02* +X93045285Y-130986450D01* +X93045285Y-130986450D02* +X93116714Y-131022164D01* +X93116714Y-131022164D02* +X93259571Y-131022164D01* +X93259571Y-131022164D02* +X93331000Y-130986450D01* +X93331000Y-130986450D02* +X93366714Y-130950735D01* +X93366714Y-130950735D02* +X93402428Y-130879307D01* +X93402428Y-130879307D02* +X93402428Y-130736450D01* +X93402428Y-130736450D02* +X93366714Y-130665021D01* +X93366714Y-130665021D02* +X93331000Y-130629307D01* +X93331000Y-130629307D02* +X93259571Y-130593592D01* +X104860164Y-134236999D02* +X104860164Y-134594142D01* +X104860164Y-134594142D02* +X104110164Y-134594142D01* +X104860164Y-133594142D02* +X104860164Y-134022713D01* +X104860164Y-133808428D02* +X104110164Y-133808428D01* +X104110164Y-133808428D02* +X104217307Y-133879856D01* +X104217307Y-133879856D02* +X104288735Y-133951285D01* +X104288735Y-133951285D02* +X104324450Y-134022713D01* +X93993735Y-127101143D02* +X94029450Y-127136857D01* +X94029450Y-127136857D02* +X94065164Y-127244000D01* +X94065164Y-127244000D02* +X94065164Y-127315428D01* +X94065164Y-127315428D02* +X94029450Y-127422571D01* +X94029450Y-127422571D02* +X93958021Y-127494000D01* +X93958021Y-127494000D02* +X93886592Y-127529714D01* +X93886592Y-127529714D02* +X93743735Y-127565428D01* +X93743735Y-127565428D02* +X93636592Y-127565428D01* +X93636592Y-127565428D02* +X93493735Y-127529714D01* +X93493735Y-127529714D02* +X93422307Y-127494000D01* +X93422307Y-127494000D02* +X93350878Y-127422571D01* +X93350878Y-127422571D02* +X93315164Y-127315428D01* +X93315164Y-127315428D02* +X93315164Y-127244000D01* +X93315164Y-127244000D02* +X93350878Y-127136857D01* +X93350878Y-127136857D02* +X93386592Y-127101143D01* +X93386592Y-126815428D02* +X93350878Y-126779714D01* +X93350878Y-126779714D02* +X93315164Y-126708286D01* +X93315164Y-126708286D02* +X93315164Y-126529714D01* +X93315164Y-126529714D02* +X93350878Y-126458286D01* +X93350878Y-126458286D02* +X93386592Y-126422571D01* +X93386592Y-126422571D02* +X93458021Y-126386857D01* +X93458021Y-126386857D02* +X93529450Y-126386857D01* +X93529450Y-126386857D02* +X93636592Y-126422571D01* +X93636592Y-126422571D02* +X94065164Y-126851143D01* +X94065164Y-126851143D02* +X94065164Y-126386857D01* +X93315164Y-125922571D02* +X93315164Y-125851142D01* +X93315164Y-125851142D02* +X93350878Y-125779714D01* +X93350878Y-125779714D02* +X93386592Y-125744000D01* +X93386592Y-125744000D02* +X93458021Y-125708285D01* +X93458021Y-125708285D02* +X93600878Y-125672571D01* +X93600878Y-125672571D02* +X93779450Y-125672571D01* +X93779450Y-125672571D02* +X93922307Y-125708285D01* +X93922307Y-125708285D02* +X93993735Y-125744000D01* +X93993735Y-125744000D02* +X94029450Y-125779714D01* +X94029450Y-125779714D02* +X94065164Y-125851142D01* +X94065164Y-125851142D02* +X94065164Y-125922571D01* +X94065164Y-125922571D02* +X94029450Y-125994000D01* +X94029450Y-125994000D02* +X93993735Y-126029714D01* +X93993735Y-126029714D02* +X93922307Y-126065428D01* +X93922307Y-126065428D02* +X93779450Y-126101142D01* +X93779450Y-126101142D02* +X93600878Y-126101142D01* +X93600878Y-126101142D02* +X93458021Y-126065428D01* +X93458021Y-126065428D02* +X93386592Y-126029714D01* +X93386592Y-126029714D02* +X93350878Y-125994000D01* +X93350878Y-125994000D02* +X93315164Y-125922571D01* +X94640856Y-139713735D02* +X94605142Y-139749450D01* +X94605142Y-139749450D02* +X94497999Y-139785164D01* +X94497999Y-139785164D02* +X94426571Y-139785164D01* +X94426571Y-139785164D02* +X94319428Y-139749450D01* +X94319428Y-139749450D02* +X94247999Y-139678021D01* +X94247999Y-139678021D02* +X94212285Y-139606592D01* +X94212285Y-139606592D02* +X94176571Y-139463735D01* +X94176571Y-139463735D02* +X94176571Y-139356592D01* +X94176571Y-139356592D02* +X94212285Y-139213735D01* +X94212285Y-139213735D02* +X94247999Y-139142307D01* +X94247999Y-139142307D02* +X94319428Y-139070878D01* +X94319428Y-139070878D02* +X94426571Y-139035164D01* +X94426571Y-139035164D02* +X94497999Y-139035164D01* +X94497999Y-139035164D02* +X94605142Y-139070878D01* +X94605142Y-139070878D02* +X94640856Y-139106592D01* +X95355142Y-139785164D02* +X94926571Y-139785164D01* +X95140856Y-139785164D02* +X95140856Y-139035164D01* +X95140856Y-139035164D02* +X95069428Y-139142307D01* +X95069428Y-139142307D02* +X94997999Y-139213735D01* +X94997999Y-139213735D02* +X94926571Y-139249450D01* +X95998000Y-139035164D02* +X95855142Y-139035164D01* +X95855142Y-139035164D02* +X95783714Y-139070878D01* +X95783714Y-139070878D02* +X95748000Y-139106592D01* +X95748000Y-139106592D02* +X95676571Y-139213735D01* +X95676571Y-139213735D02* +X95640857Y-139356592D01* +X95640857Y-139356592D02* +X95640857Y-139642307D01* +X95640857Y-139642307D02* +X95676571Y-139713735D01* +X95676571Y-139713735D02* +X95712285Y-139749450D01* +X95712285Y-139749450D02* +X95783714Y-139785164D01* +X95783714Y-139785164D02* +X95926571Y-139785164D01* +X95926571Y-139785164D02* +X95998000Y-139749450D01* +X95998000Y-139749450D02* +X96033714Y-139713735D01* +X96033714Y-139713735D02* +X96069428Y-139642307D01* +X96069428Y-139642307D02* +X96069428Y-139463735D01* +X96069428Y-139463735D02* +X96033714Y-139392307D01* +X96033714Y-139392307D02* +X95998000Y-139356592D01* +X95998000Y-139356592D02* +X95926571Y-139320878D01* +X95926571Y-139320878D02* +X95783714Y-139320878D01* +X95783714Y-139320878D02* +X95712285Y-139356592D01* +X95712285Y-139356592D02* +X95676571Y-139392307D01* +X95676571Y-139392307D02* +X95640857Y-139463735D01* +X87854164Y-125660428D02* +X87854164Y-125231857D01* +X88604164Y-125446142D02* +X87854164Y-125446142D01* +X88604164Y-124981856D02* +X87854164Y-124981856D01* +X87854164Y-124981856D02* +X87854164Y-124696142D01* +X87854164Y-124696142D02* +X87889878Y-124624713D01* +X87889878Y-124624713D02* +X87925592Y-124588999D01* +X87925592Y-124588999D02* +X87997021Y-124553285D01* +X87997021Y-124553285D02* +X88104164Y-124553285D01* +X88104164Y-124553285D02* +X88175592Y-124588999D01* +X88175592Y-124588999D02* +X88211307Y-124624713D01* +X88211307Y-124624713D02* +X88247021Y-124696142D01* +X88247021Y-124696142D02* +X88247021Y-124981856D01* +X87925592Y-124267570D02* +X87889878Y-124231856D01* +X87889878Y-124231856D02* +X87854164Y-124160428D01* +X87854164Y-124160428D02* +X87854164Y-123981856D01* +X87854164Y-123981856D02* +X87889878Y-123910428D01* +X87889878Y-123910428D02* +X87925592Y-123874713D01* +X87925592Y-123874713D02* +X87997021Y-123838999D01* +X87997021Y-123838999D02* +X88068450Y-123838999D01* +X88068450Y-123838999D02* +X88175592Y-123874713D01* +X88175592Y-123874713D02* +X88604164Y-124303285D01* +X88604164Y-124303285D02* +X88604164Y-123838999D01* +X103657856Y-123965735D02* +X103622142Y-124001450D01* +X103622142Y-124001450D02* +X103514999Y-124037164D01* +X103514999Y-124037164D02* +X103443571Y-124037164D01* +X103443571Y-124037164D02* +X103336428Y-124001450D01* +X103336428Y-124001450D02* +X103264999Y-123930021D01* +X103264999Y-123930021D02* +X103229285Y-123858592D01* +X103229285Y-123858592D02* +X103193571Y-123715735D01* +X103193571Y-123715735D02* +X103193571Y-123608592D01* +X103193571Y-123608592D02* +X103229285Y-123465735D01* +X103229285Y-123465735D02* +X103264999Y-123394307D01* +X103264999Y-123394307D02* +X103336428Y-123322878D01* +X103336428Y-123322878D02* +X103443571Y-123287164D01* +X103443571Y-123287164D02* +X103514999Y-123287164D01* +X103514999Y-123287164D02* +X103622142Y-123322878D01* +X103622142Y-123322878D02* +X103657856Y-123358592D01* +X104372142Y-124037164D02* +X103943571Y-124037164D01* +X104157856Y-124037164D02* +X104157856Y-123287164D01* +X104157856Y-123287164D02* +X104086428Y-123394307D01* +X104086428Y-123394307D02* +X104014999Y-123465735D01* +X104014999Y-123465735D02* +X103943571Y-123501450D01* +X105050714Y-123287164D02* +X104693571Y-123287164D01* +X104693571Y-123287164D02* +X104657857Y-123644307D01* +X104657857Y-123644307D02* +X104693571Y-123608592D01* +X104693571Y-123608592D02* +X104765000Y-123572878D01* +X104765000Y-123572878D02* +X104943571Y-123572878D01* +X104943571Y-123572878D02* +X105015000Y-123608592D01* +X105015000Y-123608592D02* +X105050714Y-123644307D01* +X105050714Y-123644307D02* +X105086428Y-123715735D01* +X105086428Y-123715735D02* +X105086428Y-123894307D01* +X105086428Y-123894307D02* +X105050714Y-123965735D01* +X105050714Y-123965735D02* +X105015000Y-124001450D01* +X105015000Y-124001450D02* +X104943571Y-124037164D01* +X104943571Y-124037164D02* +X104765000Y-124037164D01* +X104765000Y-124037164D02* +X104693571Y-124001450D01* +X104693571Y-124001450D02* +X104657857Y-123965735D01* +X102133856Y-135197735D02* +X102098142Y-135233450D01* +X102098142Y-135233450D02* +X101990999Y-135269164D01* +X101990999Y-135269164D02* +X101919571Y-135269164D01* +X101919571Y-135269164D02* +X101812428Y-135233450D01* +X101812428Y-135233450D02* +X101740999Y-135162021D01* +X101740999Y-135162021D02* +X101705285Y-135090592D01* +X101705285Y-135090592D02* +X101669571Y-134947735D01* +X101669571Y-134947735D02* +X101669571Y-134840592D01* +X101669571Y-134840592D02* +X101705285Y-134697735D01* +X101705285Y-134697735D02* +X101740999Y-134626307D01* +X101740999Y-134626307D02* +X101812428Y-134554878D01* +X101812428Y-134554878D02* +X101919571Y-134519164D01* +X101919571Y-134519164D02* +X101990999Y-134519164D01* +X101990999Y-134519164D02* +X102098142Y-134554878D01* +X102098142Y-134554878D02* +X102133856Y-134590592D01* +X102848142Y-135269164D02* +X102419571Y-135269164D01* +X102633856Y-135269164D02* +X102633856Y-134519164D01* +X102633856Y-134519164D02* +X102562428Y-134626307D01* +X102562428Y-134626307D02* +X102490999Y-134697735D01* +X102490999Y-134697735D02* +X102419571Y-134733450D01* +X103562428Y-135269164D02* +X103133857Y-135269164D01* +X103348142Y-135269164D02* +X103348142Y-134519164D01* +X103348142Y-134519164D02* +X103276714Y-134626307D01* +X103276714Y-134626307D02* +X103205285Y-134697735D01* +X103205285Y-134697735D02* +X103133857Y-134733450D01* +X96660735Y-124561143D02* +X96696450Y-124596857D01* +X96696450Y-124596857D02* +X96732164Y-124704000D01* +X96732164Y-124704000D02* +X96732164Y-124775428D01* +X96732164Y-124775428D02* +X96696450Y-124882571D01* +X96696450Y-124882571D02* +X96625021Y-124954000D01* +X96625021Y-124954000D02* +X96553592Y-124989714D01* +X96553592Y-124989714D02* +X96410735Y-125025428D01* +X96410735Y-125025428D02* +X96303592Y-125025428D01* +X96303592Y-125025428D02* +X96160735Y-124989714D01* +X96160735Y-124989714D02* +X96089307Y-124954000D01* +X96089307Y-124954000D02* +X96017878Y-124882571D01* +X96017878Y-124882571D02* +X95982164Y-124775428D01* +X95982164Y-124775428D02* +X95982164Y-124704000D01* +X95982164Y-124704000D02* +X96017878Y-124596857D01* +X96017878Y-124596857D02* +X96053592Y-124561143D01* +X96732164Y-123846857D02* +X96732164Y-124275428D01* +X96732164Y-124061143D02* +X95982164Y-124061143D01* +X95982164Y-124061143D02* +X96089307Y-124132571D01* +X96089307Y-124132571D02* +X96160735Y-124204000D01* +X96160735Y-124204000D02* +X96196450Y-124275428D01* +X96232164Y-123204000D02* +X96732164Y-123204000D01* +X95946450Y-123382571D02* +X96482164Y-123561142D01* +X96482164Y-123561142D02* +X96482164Y-123096857D01* +X92850735Y-127162143D02* +X92886450Y-127197857D01* +X92886450Y-127197857D02* +X92922164Y-127305000D01* +X92922164Y-127305000D02* +X92922164Y-127376428D01* +X92922164Y-127376428D02* +X92886450Y-127483571D01* +X92886450Y-127483571D02* +X92815021Y-127555000D01* +X92815021Y-127555000D02* +X92743592Y-127590714D01* +X92743592Y-127590714D02* +X92600735Y-127626428D01* +X92600735Y-127626428D02* +X92493592Y-127626428D01* +X92493592Y-127626428D02* +X92350735Y-127590714D01* +X92350735Y-127590714D02* +X92279307Y-127555000D01* +X92279307Y-127555000D02* +X92207878Y-127483571D01* +X92207878Y-127483571D02* +X92172164Y-127376428D01* +X92172164Y-127376428D02* +X92172164Y-127305000D01* +X92172164Y-127305000D02* +X92207878Y-127197857D01* +X92207878Y-127197857D02* +X92243592Y-127162143D01* +X92922164Y-126447857D02* +X92922164Y-126876428D01* +X92922164Y-126662143D02* +X92172164Y-126662143D01* +X92172164Y-126662143D02* +X92279307Y-126733571D01* +X92279307Y-126733571D02* +X92350735Y-126805000D01* +X92350735Y-126805000D02* +X92386450Y-126876428D01* +X92922164Y-126090714D02* +X92922164Y-125947857D01* +X92922164Y-125947857D02* +X92886450Y-125876428D01* +X92886450Y-125876428D02* +X92850735Y-125840714D01* +X92850735Y-125840714D02* +X92743592Y-125769285D01* +X92743592Y-125769285D02* +X92600735Y-125733571D01* +X92600735Y-125733571D02* +X92315021Y-125733571D01* +X92315021Y-125733571D02* +X92243592Y-125769285D01* +X92243592Y-125769285D02* +X92207878Y-125805000D01* +X92207878Y-125805000D02* +X92172164Y-125876428D01* +X92172164Y-125876428D02* +X92172164Y-126019285D01* +X92172164Y-126019285D02* +X92207878Y-126090714D01* +X92207878Y-126090714D02* +X92243592Y-126126428D01* +X92243592Y-126126428D02* +X92315021Y-126162142D01* +X92315021Y-126162142D02* +X92493592Y-126162142D01* +X92493592Y-126162142D02* +X92565021Y-126126428D01* +X92565021Y-126126428D02* +X92600735Y-126090714D01* +X92600735Y-126090714D02* +X92636450Y-126019285D01* +X92636450Y-126019285D02* +X92636450Y-125876428D01* +X92636450Y-125876428D02* +X92600735Y-125805000D01* +X92600735Y-125805000D02* +X92565021Y-125769285D01* +X92565021Y-125769285D02* +X92493592Y-125733571D01* +X83047735Y-139162143D02* +X83083450Y-139197857D01* +X83083450Y-139197857D02* +X83119164Y-139305000D01* +X83119164Y-139305000D02* +X83119164Y-139376428D01* +X83119164Y-139376428D02* +X83083450Y-139483571D01* +X83083450Y-139483571D02* +X83012021Y-139555000D01* +X83012021Y-139555000D02* +X82940592Y-139590714D01* +X82940592Y-139590714D02* +X82797735Y-139626428D01* +X82797735Y-139626428D02* +X82690592Y-139626428D01* +X82690592Y-139626428D02* +X82547735Y-139590714D01* +X82547735Y-139590714D02* +X82476307Y-139555000D01* +X82476307Y-139555000D02* +X82404878Y-139483571D01* +X82404878Y-139483571D02* +X82369164Y-139376428D01* +X82369164Y-139376428D02* +X82369164Y-139305000D01* +X82369164Y-139305000D02* +X82404878Y-139197857D01* +X82404878Y-139197857D02* +X82440592Y-139162143D01* +X82440592Y-138876428D02* +X82404878Y-138840714D01* +X82404878Y-138840714D02* +X82369164Y-138769286D01* +X82369164Y-138769286D02* +X82369164Y-138590714D01* +X82369164Y-138590714D02* +X82404878Y-138519286D01* +X82404878Y-138519286D02* +X82440592Y-138483571D01* +X82440592Y-138483571D02* +X82512021Y-138447857D01* +X82512021Y-138447857D02* +X82583450Y-138447857D01* +X82583450Y-138447857D02* +X82690592Y-138483571D01* +X82690592Y-138483571D02* +X83119164Y-138912143D01* +X83119164Y-138912143D02* +X83119164Y-138447857D01* +X82369164Y-137769285D02* +X82369164Y-138126428D01* +X82369164Y-138126428D02* +X82726307Y-138162142D01* +X82726307Y-138162142D02* +X82690592Y-138126428D01* +X82690592Y-138126428D02* +X82654878Y-138055000D01* +X82654878Y-138055000D02* +X82654878Y-137876428D01* +X82654878Y-137876428D02* +X82690592Y-137805000D01* +X82690592Y-137805000D02* +X82726307Y-137769285D01* +X82726307Y-137769285D02* +X82797735Y-137733571D01* +X82797735Y-137733571D02* +X82976307Y-137733571D01* +X82976307Y-137733571D02* +X83047735Y-137769285D01* +X83047735Y-137769285D02* +X83083450Y-137805000D01* +X83083450Y-137805000D02* +X83119164Y-137876428D01* +X83119164Y-137876428D02* +X83119164Y-138055000D01* +X83119164Y-138055000D02* +X83083450Y-138126428D01* +X83083450Y-138126428D02* +X83047735Y-138162142D01* +X91973856Y-129807735D02* +X91938142Y-129843450D01* +X91938142Y-129843450D02* +X91830999Y-129879164D01* +X91830999Y-129879164D02* +X91759571Y-129879164D01* +X91759571Y-129879164D02* +X91652428Y-129843450D01* +X91652428Y-129843450D02* +X91580999Y-129772021D01* +X91580999Y-129772021D02* +X91545285Y-129700592D01* +X91545285Y-129700592D02* +X91509571Y-129557735D01* +X91509571Y-129557735D02* +X91509571Y-129450592D01* +X91509571Y-129450592D02* +X91545285Y-129307735D01* +X91545285Y-129307735D02* +X91580999Y-129236307D01* +X91580999Y-129236307D02* +X91652428Y-129164878D01* +X91652428Y-129164878D02* +X91759571Y-129129164D01* +X91759571Y-129129164D02* +X91830999Y-129129164D01* +X91830999Y-129129164D02* +X91938142Y-129164878D01* +X91938142Y-129164878D02* +X91973856Y-129200592D01* +X92688142Y-129879164D02* +X92259571Y-129879164D01* +X92473856Y-129879164D02* +X92473856Y-129129164D01* +X92473856Y-129129164D02* +X92402428Y-129236307D01* +X92402428Y-129236307D02* +X92330999Y-129307735D01* +X92330999Y-129307735D02* +X92259571Y-129343450D01* +X92938142Y-129129164D02* +X93438142Y-129129164D01* +X93438142Y-129129164D02* +X93116714Y-129879164D01* +X97997856Y-137987735D02* +X97962142Y-138023450D01* +X97962142Y-138023450D02* +X97854999Y-138059164D01* +X97854999Y-138059164D02* +X97783571Y-138059164D01* +X97783571Y-138059164D02* +X97676428Y-138023450D01* +X97676428Y-138023450D02* +X97604999Y-137952021D01* +X97604999Y-137952021D02* +X97569285Y-137880592D01* +X97569285Y-137880592D02* +X97533571Y-137737735D01* +X97533571Y-137737735D02* +X97533571Y-137630592D01* +X97533571Y-137630592D02* +X97569285Y-137487735D01* +X97569285Y-137487735D02* +X97604999Y-137416307D01* +X97604999Y-137416307D02* +X97676428Y-137344878D01* +X97676428Y-137344878D02* +X97783571Y-137309164D01* +X97783571Y-137309164D02* +X97854999Y-137309164D01* +X97854999Y-137309164D02* +X97962142Y-137344878D01* +X97962142Y-137344878D02* +X97997856Y-137380592D01* +X98712142Y-138059164D02* +X98283571Y-138059164D01* +X98497856Y-138059164D02* +X98497856Y-137309164D01* +X98497856Y-137309164D02* +X98426428Y-137416307D01* +X98426428Y-137416307D02* +X98354999Y-137487735D01* +X98354999Y-137487735D02* +X98283571Y-137523450D01* +X99176428Y-137309164D02* +X99247857Y-137309164D01* +X99247857Y-137309164D02* +X99319285Y-137344878D01* +X99319285Y-137344878D02* +X99355000Y-137380592D01* +X99355000Y-137380592D02* +X99390714Y-137452021D01* +X99390714Y-137452021D02* +X99426428Y-137594878D01* +X99426428Y-137594878D02* +X99426428Y-137773450D01* +X99426428Y-137773450D02* +X99390714Y-137916307D01* +X99390714Y-137916307D02* +X99355000Y-137987735D01* +X99355000Y-137987735D02* +X99319285Y-138023450D01* +X99319285Y-138023450D02* +X99247857Y-138059164D01* +X99247857Y-138059164D02* +X99176428Y-138059164D01* +X99176428Y-138059164D02* +X99105000Y-138023450D01* +X99105000Y-138023450D02* +X99069285Y-137987735D01* +X99069285Y-137987735D02* +X99033571Y-137916307D01* +X99033571Y-137916307D02* +X98997857Y-137773450D01* +X98997857Y-137773450D02* +X98997857Y-137594878D01* +X98997857Y-137594878D02* +X99033571Y-137452021D01* +X99033571Y-137452021D02* +X99069285Y-137380592D01* +X99069285Y-137380592D02* +X99105000Y-137344878D01* +X99105000Y-137344878D02* +X99176428Y-137309164D01* +X86852857Y-141102021D02* +X86852857Y-141459164D01* +X86602857Y-140709164D02* +X86852857Y-141102021D01* +X86852857Y-141102021D02* +X87102857Y-140709164D01* +X87745714Y-141459164D02* +X87317143Y-141459164D01* +X87531428Y-141459164D02* +X87531428Y-140709164D01* +X87531428Y-140709164D02* +X87460000Y-140816307D01* +X87460000Y-140816307D02* +X87388571Y-140887735D01* +X87388571Y-140887735D02* +X87317143Y-140923450D01* +X105495164Y-131315999D02* +X105495164Y-131673142D01* +X105495164Y-131673142D02* +X104745164Y-131673142D01* +X104816592Y-131101713D02* +X104780878Y-131065999D01* +X104780878Y-131065999D02* +X104745164Y-130994571D01* +X104745164Y-130994571D02* +X104745164Y-130815999D01* +X104745164Y-130815999D02* +X104780878Y-130744571D01* +X104780878Y-130744571D02* +X104816592Y-130708856D01* +X104816592Y-130708856D02* +X104888021Y-130673142D01* +X104888021Y-130673142D02* +X104959450Y-130673142D01* +X104959450Y-130673142D02* +X105066592Y-130708856D01* +X105066592Y-130708856D02* +X105495164Y-131137428D01* +X105495164Y-131137428D02* +X105495164Y-130673142D01* +D13* +X101700000Y-126820580D02* +X101700000Y-126539420D01* +X102720000Y-126820580D02* +X102720000Y-126539420D01* +X100200000Y-126820580D02* +X100200000Y-126539420D01* +X101220000Y-126820580D02* +X101220000Y-126539420D01* +X92319420Y-134420000D02* +X92600580Y-134420000D01* +X92319420Y-135440000D02* +X92600580Y-135440000D01* +X101222742Y-128407500D02* +X101697258Y-128407500D01* +X101222742Y-129452500D02* +X101697258Y-129452500D01* +X98600580Y-126920000D02* +X98319420Y-126920000D01* +X98600580Y-127940000D02* +X98319420Y-127940000D01* +X98600580Y-132920000D02* +X98319420Y-132920000D01* +X98600580Y-133940000D02* +X98319420Y-133940000D01* +X101700000Y-131320580D02* +X101700000Y-131039420D01* +X102720000Y-131320580D02* +X102720000Y-131039420D01* +X87660000Y-135680000D02* +G75* +G02* +X86260000Y-135680000I-700000J0D01* +G01* +X86260000Y-135680000D02* +G75* +G02* +X87660000Y-135680000I700000J0D01* +G01* +X85100000Y-128820000D02* +X85100000Y-129295000D01* +X85100000Y-134040000D02* +X85100000Y-133565000D01* +X85575000Y-128820000D02* +X85100000Y-128820000D01* +X85575000Y-134040000D02* +X85100000Y-134040000D01* +X89845000Y-128820000D02* +X90320000Y-128820000D01* +X89845000Y-134040000D02* +X90020000Y-134040000D01* +X90320000Y-128820000D02* +X90320000Y-129295000D01* +X90320000Y-133565000D02* +X90320000Y-133800000D01* +X90560000Y-134370000D02* +X90080000Y-134370000D01* +X90320000Y-134040000D01* +X90560000Y-134370000D01* +G36* +X90560000Y-134370000D02* +G01* +X90080000Y-134370000D01* +X90320000Y-134040000D01* +X90560000Y-134370000D01* +G37* +X89700000Y-138795580D02* +X89700000Y-138514420D01* +X90720000Y-138795580D02* +X90720000Y-138514420D01* +D14* +X93149282Y-74808901D02* +X93180744Y-74825395D01* +X93227646Y-74850665D01* +X93286626Y-74882895D01* +X93354322Y-74920269D01* +X93376852Y-74932782D01* +X93464210Y-74982200D01* +X93529340Y-75020952D01* +X93572875Y-75049448D01* +X93595445Y-75068096D01* +X93598579Y-75076556D01* +X93594372Y-75093534D01* +X93590380Y-75131200D01* +X93586937Y-75184841D01* +X93584379Y-75249745D01* +X93583459Y-75289185D01* +X93579950Y-75487824D01* +X93154500Y-75254102D01* +X93154500Y-75061127D01* +X93153702Y-74991077D01* +X93151508Y-74927833D01* +X93148212Y-74876796D01* +X93144113Y-74843363D01* +X93142114Y-74835576D01* +X93135303Y-74812032D01* +X93136620Y-74803000D01* +X93149282Y-74808901D01* +G36* +X93149282Y-74808901D02* +G01* +X93180744Y-74825395D01* +X93227646Y-74850665D01* +X93286626Y-74882895D01* +X93354322Y-74920269D01* +X93376852Y-74932782D01* +X93464210Y-74982200D01* +X93529340Y-75020952D01* +X93572875Y-75049448D01* +X93595445Y-75068096D01* +X93598579Y-75076556D01* +X93594372Y-75093534D01* +X93590380Y-75131200D01* +X93586937Y-75184841D01* +X93584379Y-75249745D01* +X93583459Y-75289185D01* +X93579950Y-75487824D01* +X93154500Y-75254102D01* +X93154500Y-75061127D01* +X93153702Y-74991077D01* +X93151508Y-74927833D01* +X93148212Y-74876796D01* +X93144113Y-74843363D01* +X93142114Y-74835576D01* +X93135303Y-74812032D01* +X93136620Y-74803000D01* +X93149282Y-74808901D01* +G37* +X93624236Y-77814237D02* +X93684478Y-77841905D01* +X93743178Y-77888017D01* +X93797583Y-77951291D01* +X93844943Y-78030447D01* +X93846688Y-78034000D01* +X93869039Y-78084309D01* +X93885684Y-78134025D01* +X93897193Y-78187688D01* +X93904133Y-78249840D01* +X93907076Y-78325022D01* +X93906590Y-78417775D01* +X93904525Y-78495290D01* +X93897450Y-78714130D01* +X93380800Y-78479650D01* +X93386716Y-78232000D01* +X93389784Y-78132088D01* +X93394140Y-78053805D01* +X93400533Y-77993388D01* +X93409710Y-77947070D01* +X93422422Y-77911087D01* +X93439417Y-77881674D01* +X93461444Y-77855067D01* +X93461773Y-77854716D01* +X93510132Y-77819361D01* +X93565204Y-77806296D01* +X93624236Y-77814237D01* +G36* +X93624236Y-77814237D02* +G01* +X93684478Y-77841905D01* +X93743178Y-77888017D01* +X93797583Y-77951291D01* +X93844943Y-78030447D01* +X93846688Y-78034000D01* +X93869039Y-78084309D01* +X93885684Y-78134025D01* +X93897193Y-78187688D01* +X93904133Y-78249840D01* +X93907076Y-78325022D01* +X93906590Y-78417775D01* +X93904525Y-78495290D01* +X93897450Y-78714130D01* +X93380800Y-78479650D01* +X93386716Y-78232000D01* +X93389784Y-78132088D01* +X93394140Y-78053805D01* +X93400533Y-77993388D01* +X93409710Y-77947070D01* +X93422422Y-77911087D01* +X93439417Y-77881674D01* +X93461444Y-77855067D01* +X93461773Y-77854716D01* +X93510132Y-77819361D01* +X93565204Y-77806296D01* +X93624236Y-77814237D01* +G37* +X94024163Y-75291460D02* +X94054017Y-75307863D01* +X94099410Y-75332950D01* +X94157017Y-75364883D01* +X94223514Y-75401824D01* +X94239763Y-75410861D01* +X94464929Y-75536123D01* +X94463207Y-75750085D01* +X94462455Y-75820894D01* +X94461459Y-75882200D01* +X94460315Y-75929775D01* +X94459120Y-75959395D01* +X94458236Y-75967297D01* +X94446650Y-75962497D01* +X94416291Y-75947205D01* +X94370605Y-75923232D01* +X94313042Y-75892391D01* +X94247048Y-75856492D01* +X94239718Y-75852475D01* +X94024450Y-75734404D01* +X94018100Y-75509982D01* +X94016130Y-75437681D01* +X94014570Y-75375065D01* +X94013508Y-75326177D01* +X94013033Y-75295057D01* +X94013173Y-75285580D01* +X94024163Y-75291460D01* +G36* +X94024163Y-75291460D02* +G01* +X94054017Y-75307863D01* +X94099410Y-75332950D01* +X94157017Y-75364883D01* +X94223514Y-75401824D01* +X94239763Y-75410861D01* +X94464929Y-75536123D01* +X94463207Y-75750085D01* +X94462455Y-75820894D01* +X94461459Y-75882200D01* +X94460315Y-75929775D01* +X94459120Y-75959395D01* +X94458236Y-75967297D01* +X94446650Y-75962497D01* +X94416291Y-75947205D01* +X94370605Y-75923232D01* +X94313042Y-75892391D01* +X94247048Y-75856492D01* +X94239718Y-75852475D01* +X94024450Y-75734404D01* +X94018100Y-75509982D01* +X94016130Y-75437681D01* +X94014570Y-75375065D01* +X94013508Y-75326177D01* +X94013033Y-75295057D01* +X94013173Y-75285580D01* +X94024163Y-75291460D01* +G37* +X92710000Y-78364903D02* +X92710000Y-80365600D01* +X92675075Y-80365367D01* +X92647834Y-80360507D01* +X92604917Y-80347722D01* +X92553870Y-80329371D01* +X92532200Y-80320727D01* +X92351186Y-80233797D01* +X92171335Y-80122773D01* +X91994490Y-79988949D01* +X91822496Y-79833621D01* +X91783734Y-79794906D01* +X91597609Y-79587539D01* +X91430004Y-79363433D01* +X91281570Y-79123902D01* +X91152961Y-78870262D01* +X91044831Y-78603827D01* +X90957833Y-78325914D01* +X90892619Y-78037836D01* +X90882447Y-77980293D01* +X90874556Y-77927730D01* +X90866300Y-77862725D01* +X90858050Y-77789507D01* +X90850181Y-77712307D01* +X90843065Y-77635353D01* +X90837074Y-77562876D01* +X90832583Y-77499106D01* +X90829963Y-77448272D01* +X90829588Y-77414605D01* +X90831498Y-77402462D01* +X90843233Y-77395787D01* +X90875199Y-77377936D01* +X90925395Y-77350016D01* +X90991820Y-77313134D01* +X91072472Y-77268398D01* +X91165351Y-77216914D01* +X91268455Y-77159790D01* +X91379783Y-77098133D01* +X91497333Y-77033050D01* +X91619106Y-76965649D01* +X91743098Y-76897037D01* +X91867311Y-76828320D01* +X91989741Y-76760607D01* +X92108388Y-76695004D01* +X92221251Y-76632619D01* +X92326328Y-76574559D01* +X92421618Y-76521931D01* +X92505121Y-76475842D01* +X92574835Y-76437400D01* +X92628759Y-76407711D01* +X92664891Y-76387884D01* +X92681230Y-76379025D01* +X92681425Y-76378924D01* +X92709999Y-76364206D01* +X92710000Y-78364903D01* +G36* +X92710000Y-78364903D02* +G01* +X92710000Y-80365600D01* +X92675075Y-80365367D01* +X92647834Y-80360507D01* +X92604917Y-80347722D01* +X92553870Y-80329371D01* +X92532200Y-80320727D01* +X92351186Y-80233797D01* +X92171335Y-80122773D01* +X91994490Y-79988949D01* +X91822496Y-79833621D01* +X91783734Y-79794906D01* +X91597609Y-79587539D01* +X91430004Y-79363433D01* +X91281570Y-79123902D01* +X91152961Y-78870262D01* +X91044831Y-78603827D01* +X90957833Y-78325914D01* +X90892619Y-78037836D01* +X90882447Y-77980293D01* +X90874556Y-77927730D01* +X90866300Y-77862725D01* +X90858050Y-77789507D01* +X90850181Y-77712307D01* +X90843065Y-77635353D01* +X90837074Y-77562876D01* +X90832583Y-77499106D01* +X90829963Y-77448272D01* +X90829588Y-77414605D01* +X90831498Y-77402462D01* +X90843233Y-77395787D01* +X90875199Y-77377936D01* +X90925395Y-77350016D01* +X90991820Y-77313134D01* +X91072472Y-77268398D01* +X91165351Y-77216914D01* +X91268455Y-77159790D01* +X91379783Y-77098133D01* +X91497333Y-77033050D01* +X91619106Y-76965649D01* +X91743098Y-76897037D01* +X91867311Y-76828320D01* +X91989741Y-76760607D01* +X92108388Y-76695004D01* +X92221251Y-76632619D01* +X92326328Y-76574559D01* +X92421618Y-76521931D01* +X92505121Y-76475842D01* +X92574835Y-76437400D01* +X92628759Y-76407711D01* +X92664891Y-76387884D01* +X92681230Y-76379025D01* +X92681425Y-76378924D01* +X92709999Y-76364206D01* +X92710000Y-78364903D01* +G37* +X92735770Y-75863073D02* +X92768501Y-75880307D01* +X92819714Y-75907772D01* +X92887538Y-75944440D01* +X92970097Y-75989283D01* +X93065520Y-76041270D01* +X93171933Y-76099374D01* +X93287463Y-76162565D01* +X93410237Y-76229815D01* +X93538381Y-76300094D01* +X93670023Y-76372375D01* +X93803289Y-76445627D01* +X93936306Y-76518822D01* +X94067202Y-76590932D01* +X94194102Y-76660927D01* +X94315134Y-76727778D01* +X94428424Y-76790457D01* +X94532099Y-76847935D01* +X94624287Y-76899183D01* +X94703114Y-76943172D01* +X94766707Y-76978873D01* +X94799150Y-76997241D01* +X94839821Y-77021960D01* +X94869448Y-77043009D01* +X94883081Y-77056764D01* +X94883328Y-77058887D01* +X94873354Y-77072744D01* +X94850233Y-77101041D01* +X94817280Y-77139994D01* +X94777814Y-77185820D01* +X94735151Y-77234737D01* +X94692609Y-77282961D01* +X94653504Y-77326708D01* +X94621153Y-77362195D01* +X94598875Y-77385640D01* +X94590106Y-77393320D01* +X94578218Y-77387221D01* +X94545772Y-77369674D01* +X94494401Y-77341584D01* +X94425744Y-77303853D01* +X94341434Y-77257385D01* +X94243109Y-77203082D01* +X94132405Y-77141848D01* +X94010956Y-77074586D01* +X93880400Y-77002199D01* +X93742371Y-76925591D01* +X93649800Y-76874170D01* +X92716350Y-76355500D01* +X92712906Y-76106300D01* +X92712133Y-76011004D01* +X92712828Y-75939762D01* +X92715047Y-75891267D01* +X92718844Y-75864213D01* +X92723394Y-75857100D01* +X92735770Y-75863073D01* +G36* +X92735770Y-75863073D02* +G01* +X92768501Y-75880307D01* +X92819714Y-75907772D01* +X92887538Y-75944440D01* +X92970097Y-75989283D01* +X93065520Y-76041270D01* +X93171933Y-76099374D01* +X93287463Y-76162565D01* +X93410237Y-76229815D01* +X93538381Y-76300094D01* +X93670023Y-76372375D01* +X93803289Y-76445627D01* +X93936306Y-76518822D01* +X94067202Y-76590932D01* +X94194102Y-76660927D01* +X94315134Y-76727778D01* +X94428424Y-76790457D01* +X94532099Y-76847935D01* +X94624287Y-76899183D01* +X94703114Y-76943172D01* +X94766707Y-76978873D01* +X94799150Y-76997241D01* +X94839821Y-77021960D01* +X94869448Y-77043009D01* +X94883081Y-77056764D01* +X94883328Y-77058887D01* +X94873354Y-77072744D01* +X94850233Y-77101041D01* +X94817280Y-77139994D01* +X94777814Y-77185820D01* +X94735151Y-77234737D01* +X94692609Y-77282961D01* +X94653504Y-77326708D01* +X94621153Y-77362195D01* +X94598875Y-77385640D01* +X94590106Y-77393320D01* +X94578218Y-77387221D01* +X94545772Y-77369674D01* +X94494401Y-77341584D01* +X94425744Y-77303853D01* +X94341434Y-77257385D01* +X94243109Y-77203082D01* +X94132405Y-77141848D01* +X94010956Y-77074586D01* +X93880400Y-77002199D01* +X93742371Y-76925591D01* +X93649800Y-76874170D01* +X92716350Y-76355500D01* +X92712906Y-76106300D01* +X92712133Y-76011004D01* +X92712828Y-75939762D01* +X92715047Y-75891267D01* +X92718844Y-75864213D01* +X92723394Y-75857100D01* +X92735770Y-75863073D01* +G37* +X92706943Y-75201167D02* +X92707402Y-75325854D01* +X92707468Y-75442775D01* +X92707167Y-75549484D01* +X92706522Y-75643533D01* +X92705557Y-75722476D01* +X92704298Y-75783865D01* +X92702766Y-75825253D01* +X92700988Y-75844194D01* +X92700593Y-75845084D01* +X92688155Y-75852460D01* +X92655319Y-75871037D01* +X92603948Y-75899787D01* +X92535908Y-75937683D01* +X92453063Y-75983696D01* +X92357276Y-76036799D01* +X92250412Y-76095964D01* +X92134335Y-76160163D01* +X92010909Y-76228368D01* +X91881999Y-76299552D01* +X91749468Y-76372686D01* +X91615182Y-76446743D01* +X91481003Y-76520695D01* +X91348797Y-76593514D01* +X91220428Y-76664173D01* +X91097759Y-76731642D01* +X90982655Y-76794896D01* +X90876981Y-76852905D01* +X90782600Y-76904642D01* +X90701376Y-76949080D01* +X90635175Y-76985189D01* +X90585859Y-77011943D01* +X90555294Y-77028314D01* +X90545556Y-77033259D01* +X90540994Y-77033098D01* +X90537177Y-77027468D01* +X90534041Y-77014350D01* +X90531519Y-76991727D01* +X90529547Y-76957582D01* +X90528059Y-76909897D01* +X90526991Y-76846656D01* +X90526276Y-76765841D01* +X90525849Y-76665434D01* +X90525646Y-76543419D01* +X90525600Y-76416681D01* +X90525600Y-75792445D01* +X90554175Y-75764058D01* +X90575455Y-75747818D01* +X90613205Y-75723614D01* +X90662654Y-75694078D01* +X90719031Y-75661842D01* +X90777565Y-75629539D01* +X90833486Y-75599801D01* +X90882022Y-75575260D01* +X90918404Y-75558549D01* +X90937860Y-75552300D01* +X90937959Y-75552299D01* +X90945240Y-75555402D01* +X90950481Y-75566988D01* +X90954001Y-75590475D01* +X90956116Y-75629279D01* +X90957143Y-75686819D01* +X90957400Y-75761850D01* +X90957850Y-75831588D01* +X90959098Y-75891496D01* +X90960985Y-75937389D01* +X90963355Y-75965081D01* +X90965271Y-75971400D01* +X90978348Y-75965564D01* +X91010090Y-75949314D01* +X91056941Y-75924532D01* +X91115341Y-75893102D01* +X91181734Y-75856908D01* +X91187173Y-75853925D01* +X91401203Y-75736450D01* +X91401551Y-75526550D01* +X91402213Y-75442819D01* +X91404067Y-75380957D01* +X91407393Y-75337452D01* +X91412473Y-75308789D01* +X91419586Y-75291455D01* +X91420423Y-75290205D01* +X91438237Y-75274339D01* +X91473061Y-75250640D01* +X91520329Y-75221600D01* +X91575477Y-75189710D01* +X91633941Y-75157461D01* +X91691156Y-75127344D01* +X91742557Y-75101851D01* +X91783579Y-75083472D01* +X91809660Y-75074699D01* +X91815466Y-75074605D01* +X91822517Y-75081742D01* +X91827564Y-75099162D01* +X91830902Y-75130263D01* +X91832824Y-75178443D01* +X91833626Y-75247101D01* +X91833700Y-75285201D01* +X91834260Y-75353831D01* +X91835810Y-75412523D01* +X91838150Y-75457046D01* +X91841083Y-75483167D01* +X91843225Y-75488273D01* +X91856802Y-75482175D01* +X91888883Y-75465522D01* +X91935858Y-75440255D01* +X91994119Y-75408317D01* +X92060055Y-75371649D01* +X92062300Y-75370392D01* +X92271850Y-75253037D01* +X92278200Y-75034781D01* +X92281012Y-74951898D01* +X92284189Y-74890832D01* +X92288134Y-74847999D01* +X92293248Y-74819816D01* +X92299933Y-74802699D01* +X92304972Y-74796143D01* +X92322562Y-74783372D01* +X92358295Y-74760954D01* +X92408002Y-74731381D01* +X92467515Y-74697147D01* +X92514522Y-74670776D01* +X92703650Y-74565791D01* +X92706943Y-75201167D01* +G36* +X92706943Y-75201167D02* +G01* +X92707402Y-75325854D01* +X92707468Y-75442775D01* +X92707167Y-75549484D01* +X92706522Y-75643533D01* +X92705557Y-75722476D01* +X92704298Y-75783865D01* +X92702766Y-75825253D01* +X92700988Y-75844194D01* +X92700593Y-75845084D01* +X92688155Y-75852460D01* +X92655319Y-75871037D01* +X92603948Y-75899787D01* +X92535908Y-75937683D01* +X92453063Y-75983696D01* +X92357276Y-76036799D01* +X92250412Y-76095964D01* +X92134335Y-76160163D01* +X92010909Y-76228368D01* +X91881999Y-76299552D01* +X91749468Y-76372686D01* +X91615182Y-76446743D01* +X91481003Y-76520695D01* +X91348797Y-76593514D01* +X91220428Y-76664173D01* +X91097759Y-76731642D01* +X90982655Y-76794896D01* +X90876981Y-76852905D01* +X90782600Y-76904642D01* +X90701376Y-76949080D01* +X90635175Y-76985189D01* +X90585859Y-77011943D01* +X90555294Y-77028314D01* +X90545556Y-77033259D01* +X90540994Y-77033098D01* +X90537177Y-77027468D01* +X90534041Y-77014350D01* +X90531519Y-76991727D01* +X90529547Y-76957582D01* +X90528059Y-76909897D01* +X90526991Y-76846656D01* +X90526276Y-76765841D01* +X90525849Y-76665434D01* +X90525646Y-76543419D01* +X90525600Y-76416681D01* +X90525600Y-75792445D01* +X90554175Y-75764058D01* +X90575455Y-75747818D01* +X90613205Y-75723614D01* +X90662654Y-75694078D01* +X90719031Y-75661842D01* +X90777565Y-75629539D01* +X90833486Y-75599801D01* +X90882022Y-75575260D01* +X90918404Y-75558549D01* +X90937860Y-75552300D01* +X90937959Y-75552299D01* +X90945240Y-75555402D01* +X90950481Y-75566988D01* +X90954001Y-75590475D01* +X90956116Y-75629279D01* +X90957143Y-75686819D01* +X90957400Y-75761850D01* +X90957850Y-75831588D01* +X90959098Y-75891496D01* +X90960985Y-75937389D01* +X90963355Y-75965081D01* +X90965271Y-75971400D01* +X90978348Y-75965564D01* +X91010090Y-75949314D01* +X91056941Y-75924532D01* +X91115341Y-75893102D01* +X91181734Y-75856908D01* +X91187173Y-75853925D01* +X91401203Y-75736450D01* +X91401551Y-75526550D01* +X91402213Y-75442819D01* +X91404067Y-75380957D01* +X91407393Y-75337452D01* +X91412473Y-75308789D01* +X91419586Y-75291455D01* +X91420423Y-75290205D01* +X91438237Y-75274339D01* +X91473061Y-75250640D01* +X91520329Y-75221600D01* +X91575477Y-75189710D01* +X91633941Y-75157461D01* +X91691156Y-75127344D01* +X91742557Y-75101851D01* +X91783579Y-75083472D01* +X91809660Y-75074699D01* +X91815466Y-75074605D01* +X91822517Y-75081742D01* +X91827564Y-75099162D01* +X91830902Y-75130263D01* +X91832824Y-75178443D01* +X91833626Y-75247101D01* +X91833700Y-75285201D01* +X91834260Y-75353831D01* +X91835810Y-75412523D01* +X91838150Y-75457046D01* +X91841083Y-75483167D01* +X91843225Y-75488273D01* +X91856802Y-75482175D01* +X91888883Y-75465522D01* +X91935858Y-75440255D01* +X91994119Y-75408317D01* +X92060055Y-75371649D01* +X92062300Y-75370392D01* +X92271850Y-75253037D01* +X92278200Y-75034781D01* +X92281012Y-74951898D01* +X92284189Y-74890832D01* +X92288134Y-74847999D01* +X92293248Y-74819816D01* +X92299933Y-74802699D01* +X92304972Y-74796143D01* +X92322562Y-74783372D01* +X92358295Y-74760954D01* +X92408002Y-74731381D01* +X92467515Y-74697147D01* +X92514522Y-74670776D01* +X92703650Y-74565791D01* +X92706943Y-75201167D01* +G37* +D13* +X98600580Y-131420000D02* +X98319420Y-131420000D01* +X98600580Y-132440000D02* +X98319420Y-132440000D01* +X98600580Y-129920000D02* +X98319420Y-129920000D01* +X98600580Y-130940000D02* +X98319420Y-130940000D01* +X101410000Y-122680000D02* +G75* +G02* +X100010000Y-122680000I-700000J0D01* +G01* +X100010000Y-122680000D02* +G75* +G02* +X101410000Y-122680000I700000J0D01* +G01* +X92319420Y-137420000D02* +X92600580Y-137420000D01* +X92319420Y-138440000D02* +X92600580Y-138440000D01* +X95700000Y-129820580D02* +X95700000Y-129539420D01* +X96720000Y-129820580D02* +X96720000Y-129539420D01* +X84950000Y-126820580D02* +X84950000Y-126539420D01* +X85970000Y-126820580D02* +X85970000Y-126539420D01* +X98697258Y-125407500D02* +X98222742Y-125407500D01* +X98697258Y-126452500D02* +X98222742Y-126452500D01* +X83450000Y-126820580D02* +X83450000Y-126539420D01* +X84470000Y-126820580D02* +X84470000Y-126539420D01* +X98600580Y-128420000D02* +X98319420Y-128420000D01* +X98600580Y-129440000D02* +X98319420Y-129440000D01* +X104315000Y-125930000D02* +X104515000Y-125930000D01* +X104315000Y-126730000D02* +X104315000Y-125930000D01* +X104315000Y-128930000D02* +X104315000Y-128130000D01* +X104515000Y-128930000D02* +X104315000Y-128930000D01* +X107115000Y-125930000D02* +X107315000Y-125930000D01* +X107315000Y-125930000D02* +X107315000Y-126730000D01* +X107315000Y-126730000D02* +X107815000Y-126730000D01* +X107315000Y-128130000D02* +X107315000Y-128730000D01* +X107315000Y-128130000D02* +X107815000Y-128130000D01* +X107315000Y-128730000D02* +X107115000Y-128930000D01* +X92319420Y-135920000D02* +X92600580Y-135920000D01* +X92319420Y-136940000D02* +X92600580Y-136940000D01* +X95700000Y-132820580D02* +X95700000Y-132539420D01* +X96720000Y-132820580D02* +X96720000Y-132539420D01* +X92319420Y-132920000D02* +X92600580Y-132920000D01* +X92319420Y-133940000D02* +X92600580Y-133940000D01* +X100200000Y-134342779D02* +X100200000Y-134017221D01* +X101220000Y-134342779D02* +X101220000Y-134017221D01* +X90700000Y-126820580D02* +X90700000Y-126539420D01* +X91720000Y-126820580D02* +X91720000Y-126539420D01* +X92319420Y-138920000D02* +X92600580Y-138920000D01* +X92319420Y-139940000D02* +X92600580Y-139940000D01* +X88660000Y-126680000D02* +G75* +G02* +X87260000Y-126680000I-700000J0D01* +G01* +X87260000Y-126680000D02* +G75* +G02* +X88660000Y-126680000I700000J0D01* +G01* +X101319420Y-123920000D02* +X101600580Y-123920000D01* +X101319420Y-124940000D02* +X101600580Y-124940000D01* +X98319420Y-134420000D02* +X98600580Y-134420000D01* +X98319420Y-135440000D02* +X98600580Y-135440000D01* +X98600580Y-123920000D02* +X98319420Y-123920000D01* +X98600580Y-124940000D02* +X98319420Y-124940000D01* +X89200000Y-126820580D02* +X89200000Y-126539420D01* +X90220000Y-126820580D02* +X90220000Y-126539420D01* +X83700000Y-138820580D02* +X83700000Y-138539420D01* +X84720000Y-138820580D02* +X84720000Y-138539420D01* +X92319420Y-131420000D02* +X92600580Y-131420000D01* +X92319420Y-132440000D02* +X92600580Y-132440000D01* +X98319420Y-135920000D02* +X98600580Y-135920000D01* +X98319420Y-136940000D02* +X98600580Y-136940000D01* +X85210000Y-137030000D02* +X85210000Y-140330000D01* +X85210000Y-140330000D02* +X89210000Y-140330000D01* +X100200000Y-131342779D02* +X100200000Y-131017221D01* +X101220000Y-131342779D02* +X101220000Y-131017221D01* +M02* diff --git a/daughter-boards/nfc/gerber/nfc-NPTH.drl b/daughter-boards/nfc/gerber/nfc-NPTH.drl new file mode 100644 index 0000000..482f695 --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-NPTH.drl @@ -0,0 +1,12 @@ +M48 +; DRILL file {KiCad 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1} date 2025-03-17T15:10:38+0100 +; FORMAT={-:-/ absolute / metric / decimal} +; #@! TF.CreationDate,2025-03-17T15:10:38+01:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1 +; #@! TF.FileFunction,NonPlated,1,2,NPTH +FMAT,2 +METRIC +% +G90 +G05 +M30 diff --git a/daughter-boards/nfc/gerber/nfc-PTH.drl b/daughter-boards/nfc/gerber/nfc-PTH.drl new file mode 100644 index 0000000..1904efb --- /dev/null +++ b/daughter-boards/nfc/gerber/nfc-PTH.drl @@ -0,0 +1,138 @@ +M48 +; DRILL file {KiCad 9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1} date 2025-03-17T15:10:38+0100 +; FORMAT={-:-/ absolute / metric / decimal} +; #@! TF.CreationDate,2025-03-17T15:10:38+01:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,9.99.0-unknown-d5f02ba7bc~182~ubuntu22.04.1 +; #@! TF.FileFunction,Plated,1,2,PTH +FMAT,2 +METRIC +; #@! TA.AperFunction,Plated,PTH,ViaDrill +T1C0.300 +; #@! TA.AperFunction,Plated,PTH,ComponentDrill +T2C1.000 +% +G90 +G05 +T1 +X77.216Y-124.46 +X77.216Y-126.492 +X77.216Y-128.524 +X77.216Y-130.556 +X77.216Y-132.588 +X77.216Y-134.62 +X77.216Y-136.652 +X77.216Y-138.684 +X77.216Y-140.716 +X77.216Y-142.748 +X77.216Y-144.78 +X77.216Y-146.812 +X77.216Y-148.844 +X79.248Y-124.46 +X79.502Y-151.384 +X81.28Y-124.46 +X81.534Y-151.384 +X83.312Y-124.46 +X83.566Y-151.384 +X83.81Y-131.88 +X83.81Y-132.68 +X83.81Y-133.48 +X84.01Y-128.92 +X84.08Y-135.32 +X84.58Y-140.62 +X85.344Y-124.46 +X85.38Y-136.52 +X85.46Y-133.93 +X85.471Y-128.905 +X85.598Y-151.384 +X86.359Y-126.804 +X86.38Y-130.12 +X86.38Y-132.72 +X87.376Y-124.46 +X87.48Y-130.12 +X87.61Y-134.88 +X87.63Y-151.384 +X88.21Y-127.93 +X88.98Y-132.72 +X89.03Y-130.07 +X89.408Y-124.46 +X89.48Y-134.92 +X89.58Y-136.52 +X89.662Y-151.384 +X90.68Y-140.92 +X90.681Y-134.246 +X91.44Y-124.46 +X91.694Y-151.384 +X93.472Y-124.46 +X93.726Y-151.384 +X93.88Y-130.52 +X93.88Y-140.92 +X94.615Y-138.557 +X95.31Y-131.673 +X95.504Y-124.46 +X95.758Y-151.384 +X96.48Y-140.92 +X97.58Y-140.92 +X97.79Y-151.384 +X98.88Y-140.92 +X99.822Y-151.384 +X100.711Y-118.52 +X101.68Y-140.92 +X101.854Y-151.384 +X104.14Y-124.46 +X105.918Y-151.384 +X106.172Y-124.46 +X108.204Y-124.46 +X108.204Y-126.492 +X108.204Y-128.524 +X108.204Y-130.556 +X108.204Y-132.588 +X108.204Y-134.62 +X108.204Y-136.652 +X108.204Y-138.684 +X108.204Y-140.716 +X108.204Y-142.748 +X108.204Y-144.78 +X108.204Y-146.812 +X108.204Y-148.844 +T2 +X81.28Y-66.04 +X81.28Y-68.58 +X81.28Y-144.78 +X81.28Y-147.32 +X83.82Y-66.04 +X83.82Y-68.58 +X83.82Y-144.78 +X83.82Y-147.32 +X86.36Y-66.04 +X86.36Y-68.58 +X86.36Y-144.78 +X86.36Y-147.32 +X88.9Y-66.04 +X88.9Y-68.58 +X88.9Y-144.78 +X88.9Y-147.32 +X91.44Y-66.04 +X91.44Y-68.58 +X91.44Y-144.78 +X91.44Y-147.32 +X93.98Y-66.04 +X93.98Y-68.58 +X93.98Y-144.78 +X93.98Y-147.32 +X96.52Y-66.04 +X96.52Y-68.58 +X96.52Y-144.78 +X96.52Y-147.32 +X99.06Y-66.04 +X99.06Y-68.58 +X99.06Y-144.78 +X99.06Y-147.32 +X101.6Y-66.04 +X101.6Y-68.58 +X101.6Y-144.78 +X101.6Y-147.32 +X104.14Y-66.04 +X104.14Y-68.58 +X104.14Y-144.78 +X104.14Y-147.32 +M30 diff --git a/daughter-boards/nfc/library.kicad_sym b/daughter-boards/nfc/library.kicad_sym new file mode 100644 index 0000000..7143206 --- /dev/null +++ b/daughter-boards/nfc/library.kicad_sym @@ -0,0 +1,1511 @@ +(kicad_symbol_lib + (version 20241209) + (generator "kicad_symbol_editor") + (generator_version "9.99") + (symbol "SCAFFOLD_DUT_CONNECTOR_BOT" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (at 7.62 1.27 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "SCAFFOLD_DUT_CONNECTOR_BOT_1_1" + (rectangle + (start -11.43 6.35) + (end 13.97 -6.35) + (stroke + (width 0) + (type solid) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -10.16 8.89 270) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -8.89 90) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -7.62 8.89 270) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -7.62 -8.89 90) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -5.08 8.89 270) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -5.08 -8.89 90) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -2.54 8.89 270) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -2.54 -8.89 90) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 0 8.89 270) + (length 2.54) + (name "D8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 0 -8.89 90) + (length 2.54) + (name "D9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 2.54 8.89 270) + (length 2.54) + (name "D10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 2.54 -8.89 90) + (length 2.54) + (name "D11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 5.08 8.89 270) + (length 2.54) + (name "D12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 5.08 -8.89 90) + (length 2.54) + (name "D13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 8.89 270) + (length 2.54) + (name "D14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 -8.89 90) + (length 2.54) + (name "D15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 10.16 8.89 270) + (length 2.54) + (name "VDD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 10.16 -8.89 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 8.89 270) + (length 2.54) + (name "VDDA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 -8.89 90) + (length 2.54) + (name "GNDA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "SCAFFOLD_DUT_CONNECTOR_TOP" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (at 7.62 1.27 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "SCAFFOLD_DUT_CONNECTOR_TOP_1_1" + (rectangle + (start -11.43 6.35) + (end 13.97 -6.35) + (stroke + (width 0) + (type solid) + ) + (fill + (type background) + ) + ) + (pin passive line + (at -10.16 8.89 270) + (length 2.54) + (name "X0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -10.16 -8.89 90) + (length 2.54) + (name "X1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 8.89 270) + (length 2.54) + (name "X2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 -8.89 90) + (length 2.54) + (name "X3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 8.89 270) + (length 2.54) + (name "X4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -8.89 90) + (length 2.54) + (name "X5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 8.89 270) + (length 2.54) + (name "X6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 -8.89 90) + (length 2.54) + (name "X7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 8.89 270) + (length 2.54) + (name "X8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -8.89 90) + (length 2.54) + (name "X9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 2.54 8.89 270) + (length 2.54) + (name "X10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 2.54 -8.89 90) + (length 2.54) + (name "X11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 8.89 270) + (length 2.54) + (name "X12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -8.89 90) + (length 2.54) + (name "X13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 8.89 270) + (length 2.54) + (name "X14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -8.89 90) + (length 2.54) + (name "X15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 10.16 8.89 270) + (length 2.54) + (name "X18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 10.16 -8.89 90) + (length 2.54) + (name "X17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 8.89 270) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 -8.89 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "TRF7970A" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TRF7970A" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/gpn/trf7970a" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Multiprotocol Fully Integrated 13.56-MHz RFID and Near Field Communication (NFC) Transceiver IC" + (at 0 2.54 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "TRF7970A_1_1" + (rectangle + (start -17.78 25.4) + (end 17.78 -27.94) + (stroke + (width 0) + (type solid) + ) + (fill + (type background) + ) + ) + (pin input line + (at -20.32 22.86 0) + (length 2.54) + (name "EN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -20.32 20.32 0) + (length 2.54) + (name "EN2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 15.24 0) + (length 2.54) + (name "IO0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 12.7 0) + (length 2.54) + (name "IO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 10.16 0) + (length 2.54) + (name "IO2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 7.62 0) + (length 2.54) + (name "IO3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 5.08 0) + (length 2.54) + (name "IO4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SPI_SS" input line) + ) + (pin bidirectional line + (at -20.32 2.54 0) + (length 2.54) + (name "IO5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SERIAL_CLK_OUT" output line) + ) + (pin bidirectional line + (at -20.32 0 0) + (length 2.54) + (name "IO6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SERIAL_DATA_OUT" output line) + (alternate "SPI_MISO" output line) + (alternate "SUBCARRIER" output line) + ) + (pin bidirectional line + (at -20.32 -2.54 0) + (length 2.54) + (name "IO7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SPI_MOSI" input line) + ) + (pin input line + (at -20.32 -5.08 0) + (length 2.54) + (name "DATA_CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -20.32 -10.16 0) + (length 2.54) + (name "SYS_CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -20.32 -12.7 0) + (length 2.54) + (name "IRQ" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 -15.24 0) + (length 2.54) + (name "MOD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 -17.78 0) + (length 2.54) + (name "ASK/OOK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -20.32 -22.86 0) + (length 2.54) + (name "OSC_IN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -20.32 -25.4 0) + (length 2.54) + (name "OSC_OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -7.62 27.94 270) + (length 2.54) + (name "VIN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -5.08 27.94 270) + (length 2.54) + (name "VDD_X" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -5.08 -30.48 90) + (length 2.54) + (name "VSS_PA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 27.94 270) + (length 2.54) + (name "VDD_I/O" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 -30.48 90) + (length 2.54) + (name "VSS_RX" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 0 27.94 270) + (length 2.54) + (name "BAND_GAP" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -30.48 90) + (length 2.54) + (name "VSS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 2.54 27.94 270) + (length 2.54) + (name "VDD_PA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 2.54 -30.48 90) + (length 2.54) + (name "VSS_A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 5.08 27.94 270) + (length 2.54) + (name "VDD_RF" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 5.08 -30.48 90) + (length 2.54) + (name "VSS_D" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 27.94 270) + (length 2.54) + (name "VDD_A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 7.62 -30.48 90) + (length 2.54) + (name "PAD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 20.32 10.16 180) + (length 2.54) + (name "TX_OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 20.32 0 180) + (length 2.54) + (name "RX_IN1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 20.32 -10.16 180) + (length 2.54) + (name "RX_IN2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) +) diff --git a/daughter-boards/nfc/library.pretty/ANTENNA.kicad_mod b/daughter-boards/nfc/library.pretty/ANTENNA.kicad_mod new file mode 100644 index 0000000..b3013d0 --- /dev/null +++ b/daughter-boards/nfc/library.pretty/ANTENNA.kicad_mod @@ -0,0 +1,265 @@ +(footprint "ANTENNA" + (version 20250302) + (generator "pcbnew") + (generator_version "9.99") + (layer "F.Cu") + (property "Reference" "REF**" + (at 0 -0.5 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "6579bb0f-4def-4411-9b4c-290d43966f80") + (effects + (font + (size 1 1) + (thickness 0.1) + ) + ) + ) + (property "Value" "ANTENNA" + (at 0 1 0) + (unlocked yes) + (layer "F.Fab") + (uuid "102c10df-bf26-4adf-8eaa-21a146988659") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d004dc15-1299-4395-8b98-c7b5912fc8f2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a00a7ff8-52e9-4734-8489-aff080b1b20d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (attr smd) + (net_tie_pad_groups "1,2") + (fp_line + (start -14.9 -14.9) + (end 14.9 -14.9) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "9d3a5de4-f8d4-4589-af3b-50f6edf8c57d") + ) + (fp_line + (start -14.9 14.9) + (end -14.9 -14.9) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "67850c17-8852-4169-9e5d-612a4ee4a729") + ) + (fp_line + (start -14.3 -14.3) + (end 14.3 -14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "31045a87-07f2-4107-b3a2-1f3b0c74ffaa") + ) + (fp_line + (start -14.3 14.3) + (end -14.3 -14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "2c446d90-b110-4ba1-be63-4ad6503d4890") + ) + (fp_line + (start -13.7 -13.7) + (end 13.7 -13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "b51f2e7c-62dd-4543-ab4a-ab6c7aa54727") + ) + (fp_line + (start -13.7 13.7) + (end -13.7 -13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "5fead167-5acb-4f9c-b3fd-38197397b129") + ) + (fp_line + (start -13.1 -13.1) + (end 13.1 -13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "214e553f-5f23-4516-bbb9-22018679ac23") + ) + (fp_line + (start -13.1 13.1) + (end -13.1 -13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "add8904a-797f-4e2b-b8cb-553588b37da9") + ) + (fp_line + (start 8 14.9) + (end -14.9 14.9) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "5ea5a85b-792e-4f64-af46-de77d4283ec2") + ) + (fp_line + (start 13.1 -13.1) + (end 13.1 12.5) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "cc7f4494-e427-4c7d-b924-d7f97ee5a55f") + ) + (fp_line + (start 13.1 12.5) + (end 8 12.5) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "37565f98-b52d-4822-9c43-78fbd2881f8f") + ) + (fp_line + (start 13.7 -13.7) + (end 13.7 13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "817f8d97-d0a8-478b-adcd-6c387e84f5d0") + ) + (fp_line + (start 13.7 13.1) + (end -13.1 13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "224ec8e6-f3ea-443e-9344-e59d01e02651") + ) + (fp_line + (start 14.3 -14.3) + (end 14.3 13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "3286123b-c502-468e-a47f-5a7238edcf19") + ) + (fp_line + (start 14.3 13.7) + (end -13.7 13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "b1592ac7-b384-4fd3-8acf-589148dcaf89") + ) + (fp_line + (start 14.9 -14.9) + (end 14.9 14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "42bc6387-4c90-4d3c-aaf0-1687f760c925") + ) + (fp_line + (start 14.9 14.3) + (end -14.3 14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "d4e31ae7-edb2-419d-b61d-34c5e21b3c05") + ) + (fp_text user "${REFERENCE}" + (at 0 2.5 0) + (unlocked yes) + (layer "F.Fab") + (uuid "74745f1e-d9d0-4b89-a83a-bbd168449c97") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at 8 14.9 90) + (size 0.2 0.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.15) + (thermal_bridge_angle 45) + (tenting + (front none) + (back none) + ) + (uuid "c0880653-4259-47b2-aaf5-3a4f0883d8f7") + ) + (pad "2" smd roundrect + (at 8 12.5 90) + (size 0.2 0.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.15) + (thermal_bridge_angle 45) + (tenting + (front none) + (back none) + ) + (uuid "ede39f00-841d-4c64-995d-a63121631291") + ) + (embedded_fonts no) +) diff --git a/daughter-boards/nfc/nfc.kicad_pcb b/daughter-boards/nfc/nfc.kicad_pcb new file mode 100644 index 0000000..3f0639b --- /dev/null +++ b/daughter-boards/nfc/nfc.kicad_pcb @@ -0,0 +1,15231 @@ +(kicad_pcb + (version 20250302) + (generator "pcbnew") + (generator_version "9.99") + (general + (thickness 1.6) + (legacy_teardrops no) + ) + (paper "A4") + (title_block + (title "Scaffold daughterboard") + (date "2021-09-14") + (comment 1 "Under LGPL v3 license") + ) + (layers + (0 "F.Cu" signal) + (2 "B.Cu" signal) + (9 "F.Adhes" user "F.Adhesive") + (11 "B.Adhes" user "B.Adhesive") + (13 "F.Paste" user) + (15 "B.Paste" user) + (5 "F.SilkS" user "F.Silkscreen") + (7 "B.SilkS" user "B.Silkscreen") + (1 "F.Mask" user) + (3 "B.Mask" user) + (17 "Dwgs.User" user "User.Drawings") + (19 "Cmts.User" user "User.Comments") + (21 "Eco1.User" user "User.Eco1") + (23 "Eco2.User" user "User.Eco2") + (25 "Edge.Cuts" user) + (27 "Margin" user) + (31 "F.CrtYd" user "F.Courtyard") + (29 "B.CrtYd" user "B.Courtyard") + (35 "F.Fab" user) + (33 "B.Fab" user) + ) + (setup + (stackup + (layer "F.SilkS" + (type "Top Silk Screen") + ) + (layer "F.Paste" + (type "Top Solder Paste") + ) + (layer "F.Mask" + (type "Top Solder Mask") + (thickness 0.01) + ) + (layer "F.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "dielectric 1" + (type "core") + (thickness 1.51) + (material "FR4") + (epsilon_r 4.5) + (loss_tangent 0.02) + ) + (layer "B.Cu" + (type "copper") + (thickness 0.035) + ) + (layer "B.Mask" + (type "Bottom Solder Mask") + (thickness 0.01) + ) + (layer "B.Paste" + (type "Bottom Solder Paste") + ) + (layer "B.SilkS" + (type "Bottom Silk Screen") + ) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (allow_soldermask_bridges_in_footprints no) + (tenting + (front yes) + (back yes) + ) + (covering + (front no) + (back no) + ) + (plugging + (front no) + (back no) + ) + (capping no) + (filling no) + (grid_origin 81.28 147.32) + (pcbplotparams + (layerselection 0x00000000_00000000_55555555_5755f5ff) + (plot_on_all_layers_selection 0x00000000_00000000_00000000_00000000) + (disableapertmacros no) + (usegerberextensions no) + (usegerberattributes no) + (usegerberadvancedattributes no) + (creategerberjobfile no) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 6) + (plotframeref no) + (mode 1) + (useauxorigin no) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (pdf_front_fp_property_popups yes) + (pdf_back_fp_property_popups yes) + (pdf_metadata yes) + (pdf_single_document no) + (dxfpolygonmode yes) + (dxfimperialunits yes) + (dxfusepcbnewfont yes) + (psnegative no) + (psa4output no) + (plot_black_and_white yes) + (sketchpadsonfab no) + (plotpadnumbers no) + (hidednponfab no) + (sketchdnponfab yes) + (crossoutdnponfab yes) + (subtractmaskfromsilk no) + (outputformat 1) + (mirror no) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber") + ) + ) + (net 0 "") + (net 1 "unconnected-(J1-D5-Pad5)") + (net 2 "unconnected-(J1-VDD-Pad18)") + (net 3 "unconnected-(J1-D4-Pad6)") + (net 4 "unconnected-(J1-D3-Pad3)") + (net 5 "unconnected-(J1-GNDA-Pad19)") + (net 6 "unconnected-(J1-D15-Pad15)") + (net 7 "unconnected-(J2-X9-Pad9)") + (net 8 "unconnected-(J2-X1-Pad1)") + (net 9 "unconnected-(J2-X8-Pad10)") + (net 10 "unconnected-(J2-X7-Pad7)") + (net 11 "unconnected-(J2-X17-Pad17)") + (net 12 "unconnected-(J2-X12-Pad14)") + (net 13 "unconnected-(J2-X10-Pad12)") + (net 14 "unconnected-(J2-X6-Pad8)") + (net 15 "unconnected-(J2-X14-Pad16)") + (net 16 "unconnected-(J2-X0-Pad2)") + (net 17 "unconnected-(J2-X18-Pad18)") + (net 18 "unconnected-(J2-X13-Pad13)") + (net 19 "unconnected-(J2-X15-Pad15)") + (net 20 "unconnected-(J2-GND-Pad20)") + (net 21 "unconnected-(J2-GND-Pad19)") + (net 22 "unconnected-(J2-X3-Pad3)") + (net 23 "unconnected-(J2-X2-Pad4)") + (net 24 "unconnected-(J2-X5-Pad5)") + (net 25 "unconnected-(J2-X11-Pad11)") + (net 26 "unconnected-(J2-X4-Pad6)") + (net 27 "+3V3") + (net 28 "GND") + (net 29 "Net-(U1-VDD_PA)") + (net 30 "Net-(U1-VDD_I{slash}O)") + (net 31 "Net-(U1-RX_IN2)") + (net 32 "Net-(U1-BAND_GAP)") + (net 33 "Net-(U1-TX_OUT)") + (net 34 "Net-(U1-VDD_A)") + (net 35 "Net-(U1-RX_IN1)") + (net 36 "Net-(C2-Pad1)") + (net 37 "Net-(C5-Pad2)") + (net 38 "Net-(C10-Pad2)") + (net 39 "Net-(J3-In)") + (net 40 "Net-(AE1-Pad1)") + (net 41 "Net-(U1-OSC_OUT)") + (net 42 "Net-(U1-OSC_IN)") + (net 43 "Net-(J1-D6)") + (net 44 "Net-(J1-D7)") + (net 45 "Net-(J1-D14)") + (net 46 "Net-(J1-D12)") + (net 47 "Net-(J1-D10)") + (net 48 "Net-(J1-D13)") + (net 49 "Net-(J1-D11)") + (net 50 "Net-(J1-D9)") + (net 51 "Net-(J1-D0)") + (net 52 "Net-(J1-D8)") + (net 53 "Net-(J1-D1)") + (net 54 "Net-(J1-D2)") + (net 55 "Net-(U1-SYS_CLK)") + (net 56 "Net-(U1-IRQ)") + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "03a60445-4882-4694-a81f-996459ea10df") + (at 102.21 126.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C13" + (at 0.823 1.27 90) + (layer "F.SilkS") + (uuid "c412d7a6-ef1a-4316-b2f5-ae33c8d5adad") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "209c607a-a167-4616-81f1-66fdefa225df") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "de04b5f3-4b6f-4862-9f4f-e5d9e2ca9fa6") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a29ea9dc-1c3a-44fb-950a-8ca2ce60406a") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/cb028dfd-a993-4c5d-ba41-cbe7f09cd293") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd exclude_from_bom dnp) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "96a5e600-556b-4dac-95e7-4ae287037ede") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bce13474-cbf1-49f8-919b-af1563db4555") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4231c230-0346-40e3-a21c-57cd84a42fe8") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bcb838ea-4880-4b9e-bb76-b0826b7a4a3f") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "69f8cc9b-fb4c-4e4d-9448-27b8e1882914") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "639394d3-b95f-4f60-88ae-b822e8d0ce83") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "05f79648-58ec-4e28-ab47-6dbffa1f2c5a") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0c803cc6-2fbf-48b0-851f-eb4927443aba") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3f6a4793-d246-4a25-910e-26756152185d") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "68d065f4-f130-4cf6-9947-134e914894e5") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "936afaa9-c4e8-41d0-b42a-fb356394fee5") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 39 "Net-(J3-In)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "4b7ccbdc-3914-465f-9271-e0daff874296") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 40 "Net-(AE1-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "fc0a527b-2fd7-4a09-868c-20703c6d39a2") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "075e01da-68c7-4ad0-bb46-136eab3f41fb") + (at 100.71 126.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C12" + (at 0 -4.33 90) + (layer "F.SilkS") + (uuid "1111a0d6-6e59-4ff6-bdff-a4ecd0cc1ab6") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "66p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "0fd6c5b0-9f95-4b54-9a44-65ee3084a315") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5faa0997-c6ff-4b6d-b070-7230415924c7") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b5c23e94-249a-4262-b3f3-9286d7af83e9") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/23002edf-e462-4f53-a2b9-1cff9b09a797") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1b7f0da3-ffea-4c77-be7d-118243442b66") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "10303ec1-2c56-4b65-b15c-8f8b015583ee") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c8cfe0e5-93f1-4312-b11f-ec6f3ede2acf") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3e9f6f5b-ae01-4118-b9fb-3bfc563fbd1d") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5c2a96af-d6f8-4d7b-91d8-b4559fa5ee8e") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "949bd036-f106-4b87-bb05-e6fe261875f8") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "52391830-1567-4d86-9bec-876bfa038e1c") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e423372a-d848-43ad-91d4-ff2ec2d378e3") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "30f65178-5ddf-4795-a29a-95aff0a763ab") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c3ce7961-a828-45b0-baf2-a7706ce2456d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "2c7bd7ce-63b3-45a2-88ca-a1dac34708ea") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 39 "Net-(J3-In)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "48968626-8a43-4c33-8831-943dbd685e58") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 40 "Net-(AE1-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "fd0c1706-1c29-44d4-971a-ce897d69d354") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "0eaf33cd-0b17-4901-ab17-49faff207e94") + (at 92.46 134.93) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C23" + (at 2.663 0.071 0) + (layer "F.SilkS") + (uuid "ff03b0ab-c81e-419a-bd3d-e1a8a861aea8") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "2.2µ" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "71a9285e-273c-47ec-a065-39108b3dc525") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "10a2960f-f85d-425b-852e-9be935c5abe0") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "97610c08-c7ed-4268-b45c-5c122ef9fd9e") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/aefee008-a5bf-4272-ab8e-fd466d515de8") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4a667205-b260-4cb8-a877-3a7592497a6e") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "48981fe6-7730-4ccd-96ef-41703f00b7ab") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2bb81ea5-5fc6-4692-a6e7-3819eba1c6ab") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "13f65db0-5f59-49c2-b763-03213d8febbe") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b4944416-ebab-46be-a7ec-5d99fc3a9ddd") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d9e71587-3740-4d82-ad1b-c15a690cd24a") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6774752f-8047-4adc-8e03-7c76beed13a1") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "55fbaa76-bf52-41dd-968c-a52a775475fd") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "58620fca-2990-4ca3-b3ba-96074aa04952") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "143e3817-a395-4f00-8e35-a169f876a1ad") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "b9efc36d-b991-47ba-8f60-c1beff80de5d") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 27 "+3V3") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "bcddcb1d-7761-4b7c-bfd8-a43e32cd4027") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "8a246a2b-efce-4d80-adbc-e910aad8b42c") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "1e30af25-e6f3-4d79-9e08-7eb06e9240d9") + (at 101.46 128.93) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R1" + (at 2.12 -0.01 0) + (layer "F.SilkS") + (uuid "00cf509c-632b-4521-a1b4-82b4efc44b0b") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "0" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "db343c0f-d11f-4e3a-9e7d-87918ec77aca") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "75fcd0ae-d75e-4fa7-827c-d36b914c338d") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Resistor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "16c3a45b-58ef-4d58-86ca-7e7f71d01834") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/f0263d8c-e0ef-49ea-8eb8-3a068cf07b03") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c5023afa-0cf7-422c-891c-00ea6837c487") + ) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "790204ec-e19a-4cd8-a690-f51840ad112d") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "71f254aa-5354-4d0d-a119-59309fac4acf") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "762f7910-be44-4e95-a470-24e29a335a07") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d1d2b399-a6a3-43da-9b6a-b5d775a168ff") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "58df439b-49b5-4f5b-85a8-51e0d9e962d6") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "783e0316-8e8a-4258-935a-365ea6a1ac58") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b69c3b14-9d14-4aaf-b13f-bd9a055477cd") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e859903-e71d-4f95-949c-27090593c0b3") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ce61667b-7613-4eee-8990-2cac1e442da3") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "ec5c634c-feda-42c1-9ab4-b3518d94109e") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 37 "Net-(C5-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "dc858a81-d535-4994-a4ed-bc246385194f") + ) + (pad "2" smd roundrect + (at 0.825 0) + (size 0.8 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 39 "Net-(J3-In)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "b31ab249-0141-465d-8414-58be27ccbfa0") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "290b4db7-741f-4f6c-ad0a-e7fadf6774df") + (at 98.46 127.43 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C9" + (at 3.38 0.11 0) + (layer "F.SilkS") + (uuid "ef0d91fd-21c3-4d8b-8eed-4dfba341ecc4") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "27p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "53520de4-d2d2-44c6-a043-afc31e4e3590") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3265c138-8365-4b5b-8ebe-829bd04300f2") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "abf56724-96a2-4bf5-9efa-94222f1e2c90") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/090673d2-9c54-4676-aa5f-842770e904f4") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2c9860be-787d-4030-a335-161b29a0a625") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "17b0c8e5-ac6d-4548-88e8-1862e44b2370") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e11b62cd-e36e-4e11-8314-cf481e1147bc") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "323e0cc7-20dd-4b40-9d81-cba76cfbebde") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "51121a12-d417-4785-9315-5d445faeca4f") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "16c4196a-617f-49d3-929b-e85dcd15b334") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "efe1d42b-84b0-4c96-83f5-df5136e0b2a4") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e356943-62d7-4545-8fe3-54fc4b4ba4dd") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5c7584bd-7021-490f-9c07-4dd1988a8596") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b415be12-b63d-447e-85e2-94ebd9373470") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "2dc1987b-ed27-42e6-9320-d115f48d5683") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 37 "Net-(C5-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "6a0dcabe-2426-4660-87cb-58a6684836be") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "81d02f67-d14e-4a25-95eb-48540a475561") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "30f158a5-7ee8-49e1-a1d6-b892d762b8cd") + (at 98.46 133.43 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C2" + (at -3.902 0 0) + (layer "F.SilkS") + (uuid "c171b56a-6d21-4d28-9dd4-279ec2c8c28f") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "1200p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "96e267d1-bfd9-4d56-ac75-4b76969b02bb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ee754ab8-8fe0-41ed-8509-bfeb74cfc911") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e14087af-7f98-4ba7-8284-c71cea51ecf1") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/6258eb15-5aa0-488f-b738-1a6d0d8e38ab") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "485126fe-f72b-4c38-b428-2dda0ea76561") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a4e5a1ff-c908-4493-b494-abc3f4217298") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "31f2f86c-cf05-43f6-9237-662f6df5f0e3") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7d514a60-4cb1-4ede-8963-d33b3da2909a") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "752a684e-26e2-476e-8348-99fb1e2b5d96") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d3f79412-dcc9-4850-9877-0ec15826b0e1") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c676a9c3-a49d-4edc-8f2c-ddf0960d87e7") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "be926e6a-cb66-4da5-a24b-4897d408e31b") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7b339be3-c699-45c3-8ffc-b40ecb6442af") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0733a2dd-eb67-49c6-8d09-bae27786b3b8") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "c15c47e9-6fb9-494e-9c3c-b51d9513ee66") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 36 "Net-(C2-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "69690364-af4d-46a9-8af8-e078dba37a25") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 35 "Net-(U1-RX_IN1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "8b90f0bb-995e-426e-ae09-7e5b72794ff4") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "35bc6109-9942-411b-9718-f295be61f77c") + (at 102.21 131.18 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C5" + (at -0.011 4.089 90) + (layer "F.SilkS") + (uuid "f35f793a-29ca-485e-bd36-344457d6096e") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "a791cb28-e5b1-40bb-b547-f409db6c1d28") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5b655ed7-fd06-47bb-8a74-b201b2786bfa") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3a2ccd20-f272-4197-b484-c8bd6eab08b7") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/8f386361-c07a-4e42-844f-0a7cecd0f8bb") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "48bf168d-a7d4-471e-a6f4-09f3b6ff4578") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "708f3f2f-eab4-4756-87b5-c595562ee859") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b441227c-f89f-4cf8-9540-e5701f6e9fdc") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7404930c-9904-425e-b452-d283c6b3ffbc") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f9ed95d1-9311-402b-b91b-7b5a02cc13fc") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "37bd96ff-6652-4fef-b64c-3b32cd05344c") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ee9c3038-a391-4cdf-8873-58d0a9d548ee") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "40f85dbe-9f51-4d79-b484-addbd595c3b2") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a4073e0b-83b3-4b50-b5ec-ee5cf1fc856d") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8c4a39f9-5304-4731-a22e-5ec1a2da360f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "0a844ed1-7777-4e9d-887e-ed86ae6478e7") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 36 "Net-(C2-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "1308923d-d81c-495a-8a75-ea08f955b349") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 37 "Net-(C5-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "e374f683-c755-4963-b75b-c24fe1c6a227") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "TestPoint:TestPoint_Pad_D1.0mm" + (layer "F.Cu") + (uuid "37b6ec1c-f5f2-4cdd-9e2e-19edfacdb295") + (at 86.96 135.68) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Reference" "TP1" + (at -1.48 -0.36 90) + (layer "F.SilkS") + (uuid "b5ec8e29-b879-4dd0-9d59-330e928013b9") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "TestPoint" + (at 0 1.55 0) + (layer "F.Fab") + (uuid "786a7473-8808-44b3-a07e-5322d61c0883") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3e18e347-fbf8-42c8-b958-24248e53edbe") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "test point" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a5e8a55d-651d-410e-bd12-cb7a6594b3fa") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Pin* Test*") + (path "/6a1bc520-1b66-4e26-8051-9236e4cd1883") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 0 0.7) + (stroke + (width 0.12) + (type solid) + ) + (fill no) + (layer "F.SilkS") + (uuid "89a78433-b011-4034-9fc2-f2418fda4141") + ) + (fp_circle + (center 0 0) + (end 1 0) + (stroke + (width 0.05) + (type solid) + ) + (fill no) + (layer "F.CrtYd") + (uuid "354fc971-be50-406f-8eb9-2ddf4af71a0f") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.45 0) + (layer "F.Fab") + (uuid "a14f7983-be45-4622-9745-e4d52c9b5982") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd circle + (at 0 0) + (size 1 1) + (layers "F.Cu" "F.Mask") + (net 55 "Net-(U1-SYS_CLK)") + (pinfunction "1") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "b767cc2d-5095-4383-81a3-0be3ebefff43") + ) + (embedded_fonts no) + ) + (footprint "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm" + (layer "F.Cu") + (uuid "39a11654-7027-4556-b6b6-ce54feeb74d3") + (at 87.71 131.43 180) + (descr "QFN, 32 Pin (http://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/ltc-legacy-qfn/QFN_32_05-08-1693.pdf), generated with kicad-footprint-generator ipc_noLead_generator.py") + (tags "QFN NoLead") + (property "Reference" "U1" + (at 3.63 1.11 90) + (layer "F.SilkS") + (uuid "c8b27528-3fe5-4183-a494-f6d3c8863797") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "TRF7970A" + (at 0 3.83 0) + (layer "F.Fab") + (uuid "8866e481-6a39-474e-b0d7-d4a3f1210104") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/gpn/trf7970a" + (at 0 0 0) + (layer "F.Fab") + (hide yes) + (uuid "af360635-2b04-4034-88c2-c5dba92426ab") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Multiprotocol Fully Integrated 13.56-MHz RFID and Near Field Communication (NFC) Transceiver IC" + (at 0 0 0) + (layer "F.Fab") + (hide yes) + (uuid "c29ebf77-107a-478f-bf6e-af1a0216fc50") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (path "/c5a43d89-4afd-40e6-8bbf-8deceffe50da") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start 2.61 2.61) + (end 2.61 2.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "47cd65fa-eda4-4733-a823-075a8cc83e63") + ) + (fp_line + (start 2.61 -2.61) + (end 2.61 -2.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "19c56e82-87a3-404e-a4b6-8879ee709f25") + ) + (fp_line + (start 2.135 2.61) + (end 2.61 2.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "935a2212-457e-40ab-9ae9-4d9ec5f7e1d5") + ) + (fp_line + (start 2.135 -2.61) + (end 2.61 -2.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4a36a115-7636-4db1-9c70-fb0752c96363") + ) + (fp_line + (start -2.135 2.61) + (end -2.61 2.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "17852b3d-9d01-4de1-9e60-1d39e8f61ce6") + ) + (fp_line + (start -2.135 -2.61) + (end -2.31 -2.61) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8e22f8fd-03e5-4c98-a2b7-74d5f43b815c") + ) + (fp_line + (start -2.61 2.61) + (end -2.61 2.135) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c9e61162-ffb0-48e4-8ea2-343a6cfb3bb9") + ) + (fp_line + (start -2.61 -2.135) + (end -2.61 -2.37) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "028dac4c-5ec8-41eb-9b24-c15a57ce5a0c") + ) + (fp_poly + (pts + (xy -2.61 -2.61) (xy -2.85 -2.94) (xy -2.37 -2.94) + ) + (stroke + (width 0.12) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "ed14d460-8ca4-4fd8-bbec-09dcadada0fc") + ) + (fp_rect + (start -3.13 -3.13) + (end 3.13 3.13) + (stroke + (width 0.05) + (type solid) + ) + (fill no) + (layer "F.CrtYd") + (uuid "f321139c-4eb7-458e-9aef-5bd4a087e8e8") + ) + (fp_poly + (pts + (xy -2.5 -1.5) (xy -2.5 2.5) (xy 2.5 2.5) (xy 2.5 -2.5) (xy -1.5 -2.5) + ) + (stroke + (width 0.1) + (type solid) + ) + (fill no) + (layer "F.Fab") + (uuid "4ac27898-181a-4a87-8a3a-7d8668844382") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "1cf946a4-273b-4e33-8892-46521bff8272") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "" smd roundrect + (at -1.15 -1.15 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "bc8d64d2-7290-44f1-9db0-347c2b0f9124") + ) + (pad "" smd roundrect + (at -1.15 0 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "23d806e9-4e3a-4d54-8691-8f22026d8eba") + ) + (pad "" smd roundrect + (at -1.15 1.15 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "b90da400-452d-423c-93d9-04823451f14a") + ) + (pad "" smd roundrect + (at 0 -1.15 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "95335911-8be3-4b7b-9e40-349b70f2e17f") + ) + (pad "" smd roundrect + (at 0 0 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "7fbd9f19-94b9-4d16-ab2c-4e0b0dec244a") + ) + (pad "" smd roundrect + (at 0 1.15 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "c25b3b09-2953-402f-920f-895346a51d30") + ) + (pad "" smd roundrect + (at 1.15 -1.15 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "dccf00c0-2c9f-4dad-b4c2-e8c52c6059dd") + ) + (pad "" smd roundrect + (at 1.15 0 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "eaadb1f9-73fe-4bce-9d0e-7767bbd5ff71") + ) + (pad "" smd roundrect + (at 1.15 1.15 180) + (size 0.93 0.93) + (layers "F.Paste") + (roundrect_rratio 0.25) + (tenting + (front none) + (back none) + ) + (uuid "a806b3f4-ccf3-4f3c-8e13-18005fddf664") + ) + (pad "1" smd roundrect + (at -2.4375 -1.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 34 "Net-(U1-VDD_A)") + (pinfunction "VDD_A") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "d11b0025-a321-49ad-a312-01d8ef4f9c26") + ) + (pad "2" smd roundrect + (at -2.4375 -1.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 27 "+3V3") + (pinfunction "VIN") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "df1d1121-fcf3-4efe-8f13-8acb1868ecb0") + ) + (pad "3" smd roundrect + (at -2.4375 -0.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 29 "Net-(U1-VDD_PA)") + (pinfunction "VDD_RF") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "0dd46625-f449-4881-91ee-c8f1d2e45a26") + ) + (pad "4" smd roundrect + (at -2.4375 -0.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 29 "Net-(U1-VDD_PA)") + (pinfunction "VDD_PA") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "781ad58e-6a52-4ae0-8483-f3b5e911c9a0") + ) + (pad "5" smd roundrect + (at -2.4375 0.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 33 "Net-(U1-TX_OUT)") + (pinfunction "TX_OUT") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "ba367cfe-17fa-43c5-a16b-cfcfa4d1015d") + ) + (pad "6" smd roundrect + (at -2.4375 0.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pinfunction "VSS_PA") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "c4455ff5-87b3-4ece-baed-c25bbdfe0548") + ) + (pad "7" smd roundrect + (at -2.4375 1.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pinfunction "VSS_RX") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "6fb6c8a4-b3bf-4a3e-b192-f647a47ac364") + ) + (pad "8" smd roundrect + (at -2.4375 1.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 35 "Net-(U1-RX_IN1)") + (pinfunction "RX_IN1") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "f182e9ae-6545-42f6-a11b-695c85c17874") + ) + (pad "9" smd roundrect + (at -1.75 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 31 "Net-(U1-RX_IN2)") + (pinfunction "RX_IN2") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "2245d097-2a6b-484a-8462-071cedf8da91") + ) + (pad "10" smd roundrect + (at -1.25 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pinfunction "VSS") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "069829a1-245f-496b-8f0a-5125445ffd9f") + ) + (pad "11" smd roundrect + (at -0.75 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 32 "Net-(U1-BAND_GAP)") + (pinfunction "BAND_GAP") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "a15c4068-1618-48fe-a9af-42cd645fd20c") + ) + (pad "12" smd roundrect + (at -0.25 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 48 "Net-(J1-D13)") + (pinfunction "ASK/OOK") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "b73016b3-f1ee-467b-8604-9c5ec7a79ee8") + ) + (pad "13" smd roundrect + (at 0.25 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 56 "Net-(U1-IRQ)") + (pinfunction "IRQ") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "0e371264-5da5-4d00-b9f5-594b33959e08") + ) + (pad "14" smd roundrect + (at 0.75 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 51 "Net-(J1-D0)") + (pinfunction "MOD") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "81660064-34af-4b84-bef2-9ac64613477d") + ) + (pad "15" smd roundrect + (at 1.25 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pinfunction "VSS_A") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "a2da05f0-c246-42fc-a74e-6d661a8b9322") + ) + (pad "16" smd roundrect + (at 1.75 2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 30 "Net-(U1-VDD_I{slash}O)") + (pinfunction "VDD_I/O") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "1283c0f7-8404-4586-ad73-2813b80378e4") + ) + (pad "17" smd roundrect + (at 2.4375 1.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 43 "Net-(J1-D6)") + (pinfunction "IO0") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "530792b4-452e-4395-a223-609f831786fb") + ) + (pad "18" smd roundrect + (at 2.4375 1.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 44 "Net-(J1-D7)") + (pinfunction "IO1") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "7f6e49e8-281f-46dc-8b37-5b450cd9d425") + ) + (pad "19" smd roundrect + (at 2.4375 0.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 52 "Net-(J1-D8)") + (pinfunction "IO2") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "065cb22d-9a89-4437-8f20-f10528e60a3c") + ) + (pad "20" smd roundrect + (at 2.4375 0.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 50 "Net-(J1-D9)") + (pinfunction "IO3") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "8c69bb99-ee96-459f-9255-877b1f9b69fe") + ) + (pad "21" smd roundrect + (at 2.4375 -0.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 47 "Net-(J1-D10)") + (pinfunction "SPI_SS") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "f09bcca5-a2b3-4a2a-8010-0d265a6bd6a9") + ) + (pad "22" smd roundrect + (at 2.4375 -0.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 54 "Net-(J1-D2)") + (pinfunction "SERIAL_CLK_OUT") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "63b0a7f1-fdd8-4975-b764-3ab27d2c1224") + ) + (pad "23" smd roundrect + (at 2.4375 -1.25 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 53 "Net-(J1-D1)") + (pinfunction "SERIAL_DATA_OUT") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "1d2bc567-81f4-4a24-9670-5d1de8c8bed3") + ) + (pad "24" smd roundrect + (at 2.4375 -1.75 180) + (size 0.875 0.25) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 49 "Net-(J1-D11)") + (pinfunction "SPI_MOSI") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "5c0b0176-4d39-4ecc-91f8-0375383b42e6") + ) + (pad "25" smd roundrect + (at 1.75 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pinfunction "EN2") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "c4b2be5b-dfe4-4407-bb4a-f67b46a18ead") + ) + (pad "26" smd roundrect + (at 1.25 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 46 "Net-(J1-D12)") + (pinfunction "DATA_CLK") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "9bf14c21-b28d-46b6-915d-f595eaf961dc") + ) + (pad "27" smd roundrect + (at 0.75 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 55 "Net-(U1-SYS_CLK)") + (pinfunction "SYS_CLK") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "b61591c6-39f3-4fa8-99bf-aede65b9c9da") + ) + (pad "28" smd roundrect + (at 0.25 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 45 "Net-(J1-D14)") + (pinfunction "EN") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "39f02869-af38-4774-af21-e8b56584dd32") + ) + (pad "29" smd roundrect + (at -0.25 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pinfunction "VSS_D") + (pintype "power_in") + (tenting + (front none) + (back none) + ) + (uuid "920f7bfd-e871-4cd6-a789-79794723e7ed") + ) + (pad "30" smd roundrect + (at -0.75 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 41 "Net-(U1-OSC_OUT)") + (pinfunction "OSC_OUT") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "8191f341-6ec1-4a7a-ae2d-d146d07ace43") + ) + (pad "31" smd roundrect + (at -1.25 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 42 "Net-(U1-OSC_IN)") + (pinfunction "OSC_IN") + (pintype "input") + (tenting + (front none) + (back none) + ) + (uuid "cc8ee73f-b275-48a4-b760-e82b2a68efe2") + ) + (pad "32" smd roundrect + (at -1.75 -2.4375 180) + (size 0.25 0.875) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 30 "Net-(U1-VDD_I{slash}O)") + (pinfunction "VDD_X") + (pintype "output") + (tenting + (front none) + (back none) + ) + (uuid "95a9e81c-c4d3-4f99-be3e-6aeb23a5c0f6") + ) + (pad "33" smd rect + (at 0 0 180) + (size 3.45 3.45) + (property pad_prop_heatsink) + (layers "F.Cu" "F.Mask") + (net 28 "GND") + (pinfunction "PAD") + (pintype "power_in") + (zone_connect 2) + (tenting + (front none) + (back none) + ) + (uuid "9c414faa-fe56-4e1f-aff7-dc87b986315a") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Package_DFN_QFN.3dshapes/QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "39b6e9e2-4977-4b5c-841e-6021f52cb150") + (at 90.21 138.655 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C26" + (at 2.535 0.27 90) + (layer "F.SilkS") + (uuid "c4d0fbd7-4202-4c80-aed3-83372dc7916a") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "27p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "d4271374-b77a-4d9f-b4f5-ea5f4b8a6a0c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "78644bdb-5896-4198-aca6-779a9704b980") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "6316009d-d322-4268-979f-fbd0b55a40cd") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/2c0f1152-85c7-4109-bc6c-118305a511a6") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "469bfb84-a2a4-4f9f-8385-816da9586ead") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "533b54ef-fa37-4885-94ed-0fe7546f7143") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6990ead5-8242-47de-97f8-b7a457ad6a48") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1718eaf5-cd86-4495-9507-172e89b3e3b7") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3fe0587f-9406-4ecf-8c1b-7c88a61f7f5b") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e83121f4-1cf6-46e3-afd6-e9e6b82f6404") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "da2b02f4-6b39-4025-b6de-b7365ee593e1") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "72866ccc-8cd6-4be6-8964-a152c3e84143") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f377cee7-8ed0-41eb-86e9-1b7afc3cc050") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "74f59023-b48a-4975-ad40-30caa44ad4ad") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "b4153b7f-7f4a-415a-8c8c-53d4a99e1dbb") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "5696a98c-8776-4b52-b4d7-14ff0fb27884") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 42 "Net-(U1-OSC_IN)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "a782b898-e310-49e7-9a9d-3991226ab486") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "mykicadlibs:donjon-2000" + (layer "F.Cu") + (uuid "40341e7d-3956-4cf0-9c10-38d7b5e5b357") + (at 92.71 77.47) + (property "Reference" "LOGO1" + (at 0 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "08aa3c58-3a72-4acb-849a-fd7495d2145f") + (effects + (font + (size 0.75 0.75) + (thickness 0.3) + ) + ) + ) + (property "Value" "DUNGEON_LOGO" + (at 0.75 0 0) + (layer "F.SilkS") + (hide yes) + (uuid "0bf1a830-7c27-4066-bfb3-d4335c8c49b7") + (effects + (font + (size 1.524 1.524) + (thickness 0.3) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (layer "F.Fab") + (hide yes) + (uuid "175889c7-7511-4fa4-8624-cb80eb0b12f0") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (layer "F.Fab") + (hide yes) + (uuid "5cb03269-81a7-4658-8f68-70c6967d8831") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (attr through_hole) + (fp_poly + (pts + (xy 0.439282 -2.661099) (xy 0.470744 -2.644605) (xy 0.517646 -2.619335) (xy 0.576626 -2.587105) + (xy 0.644322 -2.549731) (xy 0.666852 -2.537218) (xy 0.75421 -2.4878) (xy 0.81934 -2.449048) (xy 0.862875 -2.420552) + (xy 0.885445 -2.401904) (xy 0.888579 -2.393444) (xy 0.884372 -2.376466) (xy 0.88038 -2.3388) (xy 0.876937 -2.285159) + (xy 0.874379 -2.220255) (xy 0.873459 -2.180815) (xy 0.86995 -1.982176) (xy 0.4445 -2.215898) (xy 0.4445 -2.408873) + (xy 0.443702 -2.478923) (xy 0.441508 -2.542167) (xy 0.438212 -2.593204) (xy 0.434113 -2.626637) + (xy 0.432114 -2.634424) (xy 0.425303 -2.657968) (xy 0.42662 -2.667) (xy 0.439282 -2.661099) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "c99fe56d-8a95-41fe-9418-3ef668bfe590") + ) + (fp_poly + (pts + (xy 0.914236 0.344237) (xy 0.974478 0.371905) (xy 1.033178 0.418017) (xy 1.087583 0.481291) (xy 1.134943 0.560447) + (xy 1.136688 0.564) (xy 1.159039 0.614309) (xy 1.175684 0.664025) (xy 1.187193 0.717688) (xy 1.194133 0.77984) + (xy 1.197076 0.855022) (xy 1.19659 0.947775) (xy 1.194525 1.02529) (xy 1.18745 1.24413) (xy 0.6708 1.00965) + (xy 0.676716 0.762) (xy 0.679784 0.662088) (xy 0.68414 0.583805) (xy 0.690533 0.523388) (xy 0.69971 0.47707) + (xy 0.712422 0.441087) (xy 0.729417 0.411674) (xy 0.751444 0.385067) (xy 0.751773 0.384716) (xy 0.800132 0.349361) + (xy 0.855204 0.336296) (xy 0.914236 0.344237) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "1514e151-e151-4d36-9044-fba0489f8722") + ) + (fp_poly + (pts + (xy 1.314163 -2.17854) (xy 1.344017 -2.162137) (xy 1.38941 -2.13705) (xy 1.447017 -2.105117) (xy 1.513514 -2.068176) + (xy 1.529763 -2.059139) (xy 1.754929 -1.933877) (xy 1.753207 -1.719915) (xy 1.752455 -1.649106) + (xy 1.751459 -1.5878) (xy 1.750315 -1.540225) (xy 1.74912 -1.510605) (xy 1.748236 -1.502703) (xy 1.73665 -1.507503) + (xy 1.706291 -1.522795) (xy 1.660605 -1.546768) (xy 1.603042 -1.577609) (xy 1.537048 -1.613508) + (xy 1.529718 -1.617525) (xy 1.31445 -1.735596) (xy 1.3081 -1.960018) (xy 1.30613 -2.032319) (xy 1.30457 -2.094935) + (xy 1.303508 -2.143823) (xy 1.303033 -2.174943) (xy 1.303173 -2.18442) (xy 1.314163 -2.17854) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "b39ceabe-81df-4cc1-90e0-f5fdf158efe2") + ) + (fp_poly + (pts + (xy 0 0.894903) (xy 0 2.8956) (xy -0.034925 2.895367) (xy -0.062166 2.890507) (xy -0.105083 2.877722) + (xy -0.15613 2.859371) (xy -0.1778 2.850727) (xy -0.358814 2.763797) (xy -0.538665 2.652773) (xy -0.71551 2.518949) + (xy -0.887504 2.363621) (xy -0.926266 2.324906) (xy -1.112391 2.117539) (xy -1.279996 1.893433) + (xy -1.42843 1.653902) (xy -1.557039 1.400262) (xy -1.665169 1.133827) (xy -1.752167 0.855914) (xy -1.817381 0.567836) + (xy -1.827553 0.510293) (xy -1.835444 0.45773) (xy -1.8437 0.392725) (xy -1.85195 0.319507) (xy -1.859819 0.242307) + (xy -1.866935 0.165353) (xy -1.872926 0.092876) (xy -1.877417 0.029106) (xy -1.880037 -0.021728) + (xy -1.880412 -0.055395) (xy -1.878502 -0.067538) (xy -1.866767 -0.074213) (xy -1.834801 -0.092064) + (xy -1.784605 -0.119984) (xy -1.71818 -0.156866) (xy -1.637528 -0.201602) (xy -1.544649 -0.253086) + (xy -1.441545 -0.31021) (xy -1.330217 -0.371867) (xy -1.212667 -0.43695) (xy -1.090894 -0.504351) + (xy -0.966902 -0.572963) (xy -0.842689 -0.64168) (xy -0.720259 -0.709393) (xy -0.601612 -0.774996) + (xy -0.488749 -0.837381) (xy -0.383672 -0.895441) (xy -0.288382 -0.948069) (xy -0.204879 -0.994158) + (xy -0.135165 -1.0326) (xy -0.081241 -1.062289) (xy -0.045109 -1.082116) (xy -0.02877 -1.090975) + (xy -0.028575 -1.091076) (xy -0.000001 -1.105794) (xy 0 0.894903) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "ac6b8d07-5035-44c3-9151-e63c36fe8dc3") + ) + (fp_poly + (pts + (xy 0.02577 -1.606927) (xy 0.058501 -1.589693) (xy 0.109714 -1.562228) (xy 0.177538 -1.52556) (xy 0.260097 -1.480717) + (xy 0.35552 -1.42873) (xy 0.461933 -1.370626) (xy 0.577463 -1.307435) (xy 0.700237 -1.240185) (xy 0.828381 -1.169906) + (xy 0.960023 -1.097625) (xy 1.093289 -1.024373) (xy 1.226306 -0.951178) (xy 1.357202 -0.879068) + (xy 1.484102 -0.809073) (xy 1.605134 -0.742222) (xy 1.718424 -0.679543) (xy 1.822099 -0.622065) + (xy 1.914287 -0.570817) (xy 1.993114 -0.526828) (xy 2.056707 -0.491127) (xy 2.08915 -0.472759) (xy 2.129821 -0.44804) + (xy 2.159448 -0.426991) (xy 2.173081 -0.413236) (xy 2.173328 -0.411113) (xy 2.163354 -0.397256) + (xy 2.140233 -0.368959) (xy 2.10728 -0.330006) (xy 2.067814 -0.28418) (xy 2.025151 -0.235263) (xy 1.982609 -0.187039) + (xy 1.943504 -0.143292) (xy 1.911153 -0.107805) (xy 1.888875 -0.08436) (xy 1.880106 -0.07668) (xy 1.868218 -0.082779) + (xy 1.835772 -0.100326) (xy 1.784401 -0.128416) (xy 1.715744 -0.166147) (xy 1.631434 -0.212615) + (xy 1.533109 -0.266918) (xy 1.422405 -0.328152) (xy 1.300956 -0.395414) (xy 1.1704 -0.467801) (xy 1.032371 -0.544409) + (xy 0.9398 -0.59583) (xy 0.00635 -1.1145) (xy 0.002906 -1.3637) (xy 0.002133 -1.458996) (xy 0.002828 -1.530238) + (xy 0.005047 -1.578733) (xy 0.008844 -1.605787) (xy 0.013394 -1.6129) (xy 0.02577 -1.606927) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "18c7113c-a56b-4720-aedf-94dcf29e1f50") + ) + (fp_poly + (pts + (xy -0.003057 -2.268833) (xy -0.002598 -2.144146) (xy -0.002532 -2.027225) (xy -0.002833 -1.920516) + (xy -0.003478 -1.826467) (xy -0.004443 -1.747524) (xy -0.005702 -1.686135) (xy -0.007234 -1.644747) + (xy -0.009012 -1.625806) (xy -0.009407 -1.624916) (xy -0.021845 -1.61754) (xy -0.054681 -1.598963) + (xy -0.106052 -1.570213) (xy -0.174092 -1.532317) (xy -0.256937 -1.486304) (xy -0.352724 -1.433201) + (xy -0.459588 -1.374036) (xy -0.575665 -1.309837) (xy -0.699091 -1.241632) (xy -0.828001 -1.170448) + (xy -0.960532 -1.097314) (xy -1.094818 -1.023257) (xy -1.228997 -0.949305) (xy -1.361203 -0.876486) + (xy -1.489572 -0.805827) (xy -1.612241 -0.738358) (xy -1.727345 -0.675104) (xy -1.833019 -0.617095) + (xy -1.9274 -0.565358) (xy -2.008624 -0.52092) (xy -2.074825 -0.484811) (xy -2.124141 -0.458057) + (xy -2.154706 -0.441686) (xy -2.164444 -0.436741) (xy -2.169006 -0.436902) (xy -2.172823 -0.442532) + (xy -2.175959 -0.45565) (xy -2.178481 -0.478273) (xy -2.180453 -0.512418) (xy -2.181941 -0.560103) + (xy -2.183009 -0.623344) (xy -2.183724 -0.704159) (xy -2.184151 -0.804566) (xy -2.184354 -0.926581) + (xy -2.1844 -1.053319) (xy -2.1844 -1.677555) (xy -2.155825 -1.705942) (xy -2.134545 -1.722182) + (xy -2.096795 -1.746386) (xy -2.047346 -1.775922) (xy -1.990969 -1.808158) (xy -1.932435 -1.840461) + (xy -1.876514 -1.870199) (xy -1.827978 -1.89474) (xy -1.791596 -1.911451) (xy -1.77214 -1.9177) + (xy -1.772041 -1.917701) (xy -1.76476 -1.914598) (xy -1.759519 -1.903012) (xy -1.755999 -1.879525) + (xy -1.753884 -1.840721) (xy -1.752857 -1.783181) (xy -1.7526 -1.70815) (xy -1.75215 -1.638412) + (xy -1.750902 -1.578504) (xy -1.749015 -1.532611) (xy -1.746645 -1.504919) (xy -1.744729 -1.4986) + (xy -1.731652 -1.504436) (xy -1.69991 -1.520686) (xy -1.653059 -1.545468) (xy -1.594659 -1.576898) + (xy -1.528266 -1.613092) (xy -1.522827 -1.616075) (xy -1.308797 -1.73355) (xy -1.308449 -1.94345) + (xy -1.307787 -2.027181) (xy -1.305933 -2.089043) (xy -1.302607 -2.132548) (xy -1.297527 -2.161211) + (xy -1.290414 -2.178545) (xy -1.289577 -2.179795) (xy -1.271763 -2.195661) (xy -1.236939 -2.21936) + (xy -1.189671 -2.2484) (xy -1.134523 -2.28029) (xy -1.076059 -2.312539) (xy -1.018844 -2.342656) + (xy -0.967443 -2.368149) (xy -0.926421 -2.386528) (xy -0.90034 -2.395301) (xy -0.894534 -2.395395) + (xy -0.887483 -2.388258) (xy -0.882436 -2.370838) (xy -0.879098 -2.339737) (xy -0.877176 -2.291557) + (xy -0.876374 -2.222899) (xy -0.8763 -2.184799) (xy -0.87574 -2.116169) (xy -0.87419 -2.057477) + (xy -0.87185 -2.012954) (xy -0.868917 -1.986833) (xy -0.866775 -1.981727) (xy -0.853198 -1.987825) + (xy -0.821117 -2.004478) (xy -0.774142 -2.029745) (xy -0.715881 -2.061683) (xy -0.649945 -2.098351) + (xy -0.6477 -2.099608) (xy -0.43815 -2.216963) (xy -0.4318 -2.435219) (xy -0.428988 -2.518102) (xy -0.425811 -2.579168) + (xy -0.421866 -2.622001) (xy -0.416752 -2.650184) (xy -0.410067 -2.667301) (xy -0.405028 -2.673857) + (xy -0.387438 -2.686628) (xy -0.351705 -2.709046) (xy -0.301998 -2.738619) (xy -0.242485 -2.772853) + (xy -0.195478 -2.799224) (xy -0.00635 -2.904209) (xy -0.003057 -2.268833) + ) + (stroke + (width 0.01) + (type solid) + ) + (fill yes) + (layer "F.SilkS") + (uuid "640fe0c7-d247-4a30-8881-be9b5df33053") + ) + (embedded_fonts no) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "4cdb5a0d-bd06-41f4-b7bd-68a42934fd57") + (at 98.46 131.93 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C4" + (at -5.299 -0.023 0) + (layer "F.SilkS") + (uuid "d14320fd-73ca-434d-9951-4561a3af4dc5") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "680p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "6d612a03-d4e2-41dc-8c29-f0b4473a0aaf") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "99de80bd-a095-4727-aceb-c9574c5a210b") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bbb7d67b-73ee-4842-b8bf-4e0b15384f5b") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/64e6c5f8-91f5-421a-8522-21f8a8236157") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4101f320-9b81-4d59-9617-4a922853720b") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "96983e3a-94c0-4a63-bc60-6b53074a243b") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dec1259b-191f-4f3b-8b6f-4611839fc70c") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bffffe3f-3ec5-4462-8e55-5c6f6080ee2a") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "31625a08-c491-4bbb-b1d6-4bdd2b21f8db") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1590dc96-bfdf-4841-98e7-862b7396a822") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "afb40618-b0bf-458e-8562-ef2878ca6324") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4351d70c-15a4-4f64-bd78-f98e516fe6fa") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8022cbde-29b5-432b-9e7e-1e740787925b") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c727bbbc-d038-4547-8e68-38550d456bfd") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "a0df9d53-7a73-41ff-a01e-566803f5f7e3") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 36 "Net-(C2-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "ccec5f04-bf05-46df-bdc3-ce2cbd1115db") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "40581a8b-edb7-48ce-8348-cfff101caeaf") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "4f202f63-f5e0-47d5-83e0-75c7f3ecdd17") + (at 98.46 130.43 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C6" + (at -5.299 -0.126 0) + (layer "F.SilkS") + (uuid "e5fe969d-0bcb-44c2-97c3-6f811c8968ae") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "220p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "a0606c9f-760d-4b19-9b33-126056a6ce1b") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "54bea1b4-1ab8-43cb-8165-e5c96eb6b965") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "cd970631-e7a8-43d4-bf33-1a4e3b43b24d") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/e6ef807e-79bd-4b27-97a1-cb30bec4112d") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "bd6203ea-c67f-4a1a-b0ea-d37d6564ecc0") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9c26ade3-42b3-4a27-9c1a-5f8216ad93c9") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8e796f93-0018-467d-9e99-0510f7ff8452") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "47cfb976-d846-4458-ac98-a9852634a4c2") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ba428c28-104a-4d26-b3c9-69a97698463a") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "99f49048-3ba8-43d7-a9db-fbdabe7f7860") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "36aec1e5-a6c4-4a5b-b073-e90a7a9243ab") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a0e38a09-503a-4bd9-9831-116cfa0c44ae") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f15f8a0a-9b9a-4330-9de2-94f0ab0f4ccd") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b77f8b31-d112-4908-b743-386cf6510eae") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "13b97214-4e0d-4f15-b8bd-df9eb157ed1e") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 37 "Net-(C5-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "16db686c-faba-43fe-8ea6-a46a64396352") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 31 "Net-(U1-RX_IN2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "714268f1-1184-45e0-b59e-66bb8734c3d8") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "library:ANTENNA" + (layer "F.Cu") + (uuid "6245549b-207f-4022-8776-28df47ea5839") + (at 92.71 106.68) + (property "Reference" "AE1" + (at 0 -0.5 0) + (unlocked yes) + (layer "F.SilkS") + (uuid "b31450aa-274c-4f47-a9bf-f3e1612809b1") + (effects + (font + (size 0.75 0.75) + (thickness 0.1) + ) + ) + ) + (property "Value" "1.1µH" + (at 0 1 0) + (unlocked yes) + (layer "F.Fab") + (uuid "4baa9905-d8dc-4c76-a4e4-73700e3afc43") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "3cea6206-1ffc-472c-9847-6ffea63da82c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Description" "Loop antenna" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "27775368-a6fa-4375-a3f1-037203dcdf66") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (path "/7a17a2f4-888f-4265-8fd6-76b8baf4237f") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (net_tie_pad_groups "1,2") + (fp_line + (start -14.9 -14.9) + (end 14.9 -14.9) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "3b71b71a-edcd-458d-b5d1-4b9855c190d9") + ) + (fp_line + (start -14.9 14.9) + (end -14.9 -14.9) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "fbf76220-1ae4-4bb5-87a4-1ccaa37ce264") + ) + (fp_line + (start -14.3 -14.3) + (end 14.3 -14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "f4bdd507-6cc0-468d-8aaa-f0a82021fecb") + ) + (fp_line + (start -14.3 14.3) + (end -14.3 -14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "703fabf5-bf4e-46d1-a770-50c4c65b4c92") + ) + (fp_line + (start -13.7 -13.7) + (end 13.7 -13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "edb2182b-0a2b-4e5b-a14e-f8cfff9c97d2") + ) + (fp_line + (start -13.7 13.7) + (end -13.7 -13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "2e50ddfb-69e2-48f1-801e-ceee870eef27") + ) + (fp_line + (start -13.1 -13.1) + (end 13.1 -13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "424317a8-60fc-4731-85e8-289562e2d1e1") + ) + (fp_line + (start -13.1 13.1) + (end -13.1 -13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "3418a1e4-6e3f-486a-889d-effb7680c0c0") + ) + (fp_line + (start 8 14.9) + (end -14.9 14.9) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "51cb5c35-0384-4cec-97c0-424afe55a379") + ) + (fp_line + (start 13.1 -13.1) + (end 13.1 12.5) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "edc6ddc5-b6f9-420c-8f4d-a913dd18cce3") + ) + (fp_line + (start 13.1 12.5) + (end 8 12.5) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "42ded1ea-73df-4df1-99bb-c36e1b8e956c") + ) + (fp_line + (start 13.7 -13.7) + (end 13.7 13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "4ca570e6-5719-4e1b-a9eb-7a5bfa858de3") + ) + (fp_line + (start 13.7 13.1) + (end -13.1 13.1) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "b947b458-07c8-46c0-9883-bc1c36577b8b") + ) + (fp_line + (start 14.3 -14.3) + (end 14.3 13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "efed1272-1b93-4647-b637-7af9e5a29cbb") + ) + (fp_line + (start 14.3 13.7) + (end -13.7 13.7) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "19623c81-3ddd-4bf9-ac42-7a0d9f0281f9") + ) + (fp_line + (start 14.9 -14.9) + (end 14.9 14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "83dad7e0-9d8d-4ad9-8281-cf1599df85f2") + ) + (fp_line + (start 14.9 14.3) + (end -14.3 14.3) + (stroke + (width 0.2) + (type default) + ) + (layer "F.Cu") + (uuid "075f8893-ebad-4d30-b92a-8f4910a838a7") + ) + (fp_text user "${REFERENCE}" + (at 0 2.5 0) + (unlocked yes) + (layer "F.Fab") + (uuid "2145724c-2bc7-439d-a815-94a41091639f") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at 8 14.9 90) + (size 0.2 0.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.15) + (net 40 "Net-(AE1-Pad1)") + (pintype "input") + (thermal_bridge_angle 45) + (tenting + (front none) + (back none) + ) + (uuid "651f06bb-e19e-439d-904b-1df7f86b37f2") + ) + (pad "2" smd roundrect + (at 8 12.5 90) + (size 0.2 0.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.15) + (net 28 "GND") + (pintype "input") + (thermal_bridge_angle 45) + (tenting + (front none) + (back none) + ) + (uuid "368b03e3-812d-496b-b87b-e0df806b1d43") + ) + (embedded_fonts no) + ) + (footprint "TestPoint:TestPoint_Pad_D1.0mm" + (layer "F.Cu") + (uuid "689322d1-4667-4bde-a698-2b40e25796df") + (at 100.71 122.68) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Reference" "TP4" + (at -2.33 -0.01 0) + (layer "F.SilkS") + (uuid "bd813c6e-9ef8-468b-b045-779be172dacf") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "TestPoint" + (at 0 1.55 0) + (layer "F.Fab") + (uuid "dd7404ba-4c5e-4314-aaa2-53b8f665fbbb") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5cd46736-5122-434c-8bb0-e45182ffe11f") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "test point" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "70ca5774-a70e-4651-a56c-4c460f0efe60") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Pin* Test*") + (path "/df76565e-2413-4df9-815c-e068b1ca5ea6") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 0 0.7) + (stroke + (width 0.12) + (type solid) + ) + (fill no) + (layer "F.SilkS") + (uuid "a99f850f-ed37-4d8f-bfbd-46411b05a355") + ) + (fp_circle + (center 0 0) + (end 1 0) + (stroke + (width 0.05) + (type solid) + ) + (fill no) + (layer "F.CrtYd") + (uuid "6c97a0a6-f676-43c1-8f05-fd84d222fe1a") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.45 0) + (layer "F.Fab") + (uuid "5860b4c6-5e35-412a-bc7a-1af5b84aaa9a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd circle + (at 0 0) + (size 1 1) + (layers "F.Cu" "F.Mask") + (net 40 "Net-(AE1-Pad1)") + (pinfunction "1") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "ce954294-bd89-4e87-ad84-61b62f7839ff") + ) + (embedded_fonts no) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "726e7aed-8eca-4211-8562-13d52a927123") + (at 92.46 137.93) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C1" + (at 2.282 -0.262 0) + (layer "F.SilkS") + (uuid "1f679afa-85e2-4464-8f7b-d07453743c2b") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "2.2µ" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "0a790f27-b5af-454a-83a2-643294c92ac2") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d018d125-4ef7-4678-93eb-d5dbb46c8882") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e82162a2-05a2-4461-9240-b1fd13e8c21b") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/a073b3eb-02cf-4151-8312-db8a2ff98a1a") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "05fa0fed-fb58-45ea-a069-ba3db158928a") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9768679c-94e1-4c99-8878-13d774dd3e04") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a53c105e-ff8a-43d1-9d93-84b358dbbc65") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "aa3bc1d9-2f44-4d4e-be88-1d5fb456f425") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1f9f5a76-f13f-4a79-a9c0-430c3c67b990") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a23d5679-6d7f-49ec-a71c-1ac884d12854") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "939cf215-505c-4439-909f-96b62d13409a") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a74a8e7a-fefe-4ee2-8987-f5cf4cdf66e1") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "afd17901-5599-477d-b8cb-08b3d189809b") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e40188c-de77-4912-a6f8-097ee127eed2") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "3ba1bb96-bd0c-4123-b2f9-9e59e0facf97") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 34 "Net-(U1-VDD_A)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "14994125-62d4-46ea-b0ac-e377882fdb67") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "9da75305-ca0a-44a4-9db8-2c0a83cf912d") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "7c6817fb-6f62-4071-ac79-d36269b2e230") + (at 96.21 129.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C7" + (at 0.013 -1.341 90) + (layer "F.SilkS") + (uuid "2bc01159-6c1e-41dc-879c-ae4d83ead8a8") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "680p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "99f9e222-2611-48a9-a03d-c263f09e6afc") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "81f5c570-cadd-4b63-841e-b49a08a11cab") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2cef8fc6-6694-47c3-b66b-e948c06be34d") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/3ffcc1ce-8333-4843-ad43-5873f8a8913c") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8d911605-17f6-49d1-ba87-c5155e566077") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "86fe1a4a-d328-41d3-ae07-d67932f4b2b5") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "5d530593-ccba-496b-826a-75d5be66b986") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bfe3e4ca-17a5-4ecd-a36f-3ffa6899a0b3") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b5b1906b-fb42-4fa2-a283-5b789cf2044d") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "bc52dd61-d005-4818-bc79-90b06dbae430") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "75b279b4-092b-40c6-8d58-f784b30c4f5c") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "87e9a7d1-6dec-4c19-ad71-612754b63e57") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "01fbb711-c1a4-43f9-b3b6-f6db0d4d181a") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b45674c4-95da-4a71-826a-28b4b7a1a9ca") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "10a06726-9cea-4c5e-aefb-0580cecd43fd") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 31 "Net-(U1-RX_IN2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "8753b521-7b3f-4245-afaa-e39fde105e1a") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "c9dbc104-cd87-4931-a784-8a59962a5a35") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "7d8d418f-23d8-467a-a2ca-f923e749a36f") + (at 85.46 126.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C22" + (at 0 -2.783 90) + (layer "F.SilkS") + (uuid "e51d1381-f475-403d-ab9a-038ac4d46cc0") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10n" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "27302396-c850-400e-82f1-8d0c16d231b0") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bb6de39f-fb5c-4a32-b956-a988f943a495") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "65e79952-0841-4d43-a997-2c0bdbcff21a") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/1e8fe888-a612-4dc5-9ac6-0db7f0c75bf3") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4849bc15-05b1-48a9-92f1-e32b6c6c3a42") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "c29710cb-7a53-4a80-9221-d7957760fb39") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "aecbb4db-4b25-4c72-be0e-0fd4aec11370") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "23e10144-fdb2-40b4-a867-7d78a5edb9eb") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "49cf1ab9-b001-49f1-bbdb-f9b34994a7fe") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "96c3ee80-080c-4d33-ab39-7b343c61173d") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f9c75284-0e7b-4196-9870-0a3997a30cb2") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "eaaae2cd-c24e-4bae-b251-51223895ca8a") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "55e091ec-df85-41c6-830c-57183f8bfddc") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1d51f059-e092-4f50-96dc-4e32c799ea14") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "3e7759fe-3599-462a-9d76-a7129bc9fffe") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 30 "Net-(U1-VDD_I{slash}O)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "ae780fee-eb85-40f8-9a23-36ef3420c4a0") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "483c479c-8aeb-4e72-9164-03a88d5bc8d7") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Resistor_SMD:R_0603_1608Metric" + (layer "F.Cu") + (uuid "848c26c9-74c2-48ef-a37e-00803c0ec674") + (at 98.46 125.93 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Reference" "R2" + (at 3.28 0.01 0) + (layer "F.SilkS") + (uuid "d95047de-76ee-4dd1-9f82-fa8c48f1a9b5") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "680" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "23aad2ae-6f14-485b-bdc2-369f681856c4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "35acd02d-6e48-46fb-912c-d9ead8eec5fc") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Resistor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8eb545e7-af63-4d6d-bd9a-7d5b630fb908") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "R_*") + (path "/7a67787e-a567-49ae-8eb6-0668cea866f0") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.237258 0.5225) + (end 0.237258 0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "234c9383-f247-4610-8b65-dc8dae768a2f") + ) + (fp_line + (start -0.237258 -0.5225) + (end 0.237258 -0.5225) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8855252d-b60c-4bd2-9b3b-9843c0d53a7f") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f24862f6-61ef-42e5-939d-85181a6b7172") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "10048d23-7b80-4183-904f-c915d26b31da") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ddfd03a7-de3d-4249-b3ba-5ea80081486e") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "3fffe759-5a7f-4ca3-9c4f-eb4cb3c97e09") + ) + (fp_line + (start 0.8 0.4125) + (end -0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e04f0c6a-18a4-4f8a-b0e9-629dcd48f819") + ) + (fp_line + (start 0.8 -0.4125) + (end 0.8 0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b432c596-06c3-43a8-bb3b-3336e58cc055") + ) + (fp_line + (start -0.8 0.4125) + (end -0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "3471636f-5db7-42b6-92f5-0bce56351f5a") + ) + (fp_line + (start -0.8 -0.4125) + (end 0.8 -0.4125) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e916bdeb-2f9c-4eaa-ada7-359c16ed1687") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "5d068033-6da6-4a01-9b89-45a181f2da94") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.825 0 180) + (size 0.8 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 40 "Net-(AE1-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "136b32f5-755a-46be-872c-2ea9a9735ec7") + ) + (pad "2" smd roundrect + (at 0.825 0 180) + (size 0.8 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "47ad5434-8576-4bdc-84a6-9773adf9c261") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "84c66122-84ac-4485-85ed-f7bc3c898088") + (at 83.96 126.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C21" + (at -0.0152 -2.4252 90) + (layer "F.SilkS") + (uuid "8dc2d3d6-0b01-4e77-8c99-a787f1ea3b12") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "2.2µ" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "4b42698b-1ad8-4004-bf38-c3d565926d82") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "498dbe85-1857-41ab-9dee-0e52c73da984") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "909e5f78-2bcf-4c9f-92e5-29e0c2e8d1e1") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/0bb3580a-06a0-49be-aa3c-35965c7f0d01") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8f24b9ab-c46a-49d4-8b85-d0edc9e723e9") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "22187a58-868c-4679-8433-8a932f3b9ebc") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "82fb4bb0-4a29-4188-9475-9ad539f105f5") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b7d061d6-43f0-48fc-944e-605a3b4c65d6") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "766e3ca0-2939-4290-9c7d-47c0c1fc7835") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "82491cf2-bcb2-40cb-8a0a-20dfc4fdc707") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7586b12b-4be9-4af0-aefd-2adaa2bfeb81") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f345b8ff-afd6-4b0a-aa57-1ef39a7f58c4") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "18fcf74b-b74a-4991-8a9a-9956d80147e9") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "068bff38-c932-405f-a1ef-ec410b1221aa") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "970abb36-0e96-47ca-8a92-c898a257dbbe") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 30 "Net-(U1-VDD_I{slash}O)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "b72b1e4a-a14f-4c7e-8689-dc4700e0a5a8") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "01b6bdad-84a7-4a94-9f7a-6d516140ae72") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "8c76c768-7776-4f8c-84ef-50a894b619fe") + (at 98.46 128.93 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C8" + (at 3.845 0.533 0) + (layer "F.SilkS") + (uuid "89f94771-47b1-448e-a8d5-86e67a7075a4") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "100p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "e299659e-e3a7-4542-af66-43ec4d8c5bd7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "e95edb0e-6ecf-46de-b7f9-0d521d36600e") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "340b011b-866d-4b9c-96d7-1efa0339fd44") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/2d540ed6-e398-4b6a-8232-44b9167bdf67") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "95a7151d-441f-4c99-a829-24969aebebca") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5beecc2a-48bc-4c66-a76d-5882d99dbd86") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "8de62d04-a1f0-426c-9069-39ecc7695fcf") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "eb70d4a7-cd25-46b8-8204-cb8a3029566d") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "362c02b4-804b-4dc9-a0ea-0e307c8fa488") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "38f35dd4-f058-4666-97ca-44c4453efb22") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e82a916b-3ae2-4246-8d5d-9662e1bb1b77") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ffe37e41-f9c4-4abe-b824-a8da373c106a") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "89e3d4c1-3195-4275-9128-9fc11dc37a4c") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c1744d0b-e634-4a19-86d1-8b11fe62fae6") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "a4e7b4c9-2f88-4cae-b04e-e9e07dc44c0d") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 37 "Net-(C5-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "f928daf3-b23c-4ebc-b4a1-75ab327fb944") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "fe8e4d79-6ead-4cce-a4fa-8953081504b5") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_Coaxial:U.FL_Molex_MCRF_73412-0110_Vertical" + (layer "F.Cu") + (uuid "9126655e-5a16-4d7b-aff8-95ddf9e1ef4c") + (at 105.815 127.43 -90) + (descr "Molex Microcoaxial RF Connectors (MCRF), mates Hirose U.FL, (http://www.molex.com/pdm_docs/sd/734120110_sd.pdf)") + (tags "mcrf hirose ufl u.fl microcoaxial") + (property "Reference" "J3" + (at -3.097 -1.728 180) + (layer "F.SilkS") + (uuid "f6532ce8-5220-4a2e-a70f-b40617043107") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "Conn_Coaxial_Small" + (at 0 -3.302 90) + (layer "F.Fab") + (uuid "24873c6e-f8a2-45c0-a659-84b68e979715") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5e2282a7-d9c1-431e-bdea-cfa9c7be2319") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "small coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "26759b9b-ccd6-47fa-965e-5dffed4bcb10") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Manufacturer" "Molex" + (at 0 0 270) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "15081c41-5e30-4b35-b456-c87b7f2fe131") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "ManufacturerRef" "73412-0110" + (at 0 0 270) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b63fd16e-dc11-4d91-ae92-1fef23a29a7d") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Vendor" "Mouser" + (at 0 0 270) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5e4b1cad-f025-44c9-bd4b-4ce56ff0e6d7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "VendorRef" "538-73412-0110" + (at 0 0 270) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2301227d-adfe-4f4e-a863-95b304a0470e") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Price" "0.703" + (at 0 0 270) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ec5ce27c-e318-4ada-a3d3-d6b8ff5861df") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "*BNC* *SMA* *SMB* *SMC* *Cinch* *LEMO* *UMRF* *MCX* *U.FL*") + (path "/de5f0a58-10bc-4a9f-96f7-252c77bd4758") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -1.5 1.5) + (end -1.5 1.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "16ef1591-e6aa-4213-b75f-b3e59d639e15") + ) + (fp_line + (start -0.7 1.5) + (end -1.5 1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b4c0d146-e8b9-4405-8130-bf869da6acc0") + ) + (fp_line + (start 1.5 1.5) + (end 0.7 1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5a88f2ff-5cd7-4c55-9018-f9559351fd73") + ) + (fp_line + (start 1.5 1.3) + (end 1.5 1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a6517a7f-f718-4f9f-8ae0-d2e4245849c5") + ) + (fp_line + (start -1.5 -1.3) + (end -1.5 -1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0d290006-069e-4d16-ad55-eda5a09f1c9d") + ) + (fp_line + (start -1.5 -1.5) + (end -0.7 -1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4517544e-5267-464b-949e-f87d77815f77") + ) + (fp_line + (start -0.7 -1.5) + (end -0.7 -2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4fdf797c-332f-493e-8b58-58ece8235697") + ) + (fp_line + (start 0.7 -1.5) + (end 1.3 -1.5) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "57e4d7e1-b1c5-4a93-8d47-934d76fecbfb") + ) + (fp_line + (start 0.7 -1.5) + (end 0.7 -2) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4e017c99-cfc4-4888-a84b-058d5d6516d8") + ) + (fp_line + (start 1.3 -1.5) + (end 1.5 -1.3) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "63b1f7f0-1a9e-4dc7-a7ce-fa8213b04a9a") + ) + (fp_line + (start -2.5 2.4) + (end 2.5 2.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d0daa342-06d2-42aa-8c7a-2d4d194b7273") + ) + (fp_line + (start 2.5 2.4) + (end 2.5 -2.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "7d381ed4-963a-4dbe-80ca-6314cadaa8ee") + ) + (fp_line + (start -2.5 -2.6) + (end -2.5 2.4) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "2746e795-1fe4-4bcd-8f1c-77255fdc8efc") + ) + (fp_line + (start 2.5 -2.6) + (end -2.5 -2.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e3938576-5100-468f-b891-fd66e19c9adb") + ) + (fp_line + (start -1.3 1.3) + (end -1.3 -1.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cd5f7dcb-c341-4493-b13f-50a9d9e8e81a") + ) + (fp_line + (start 1.3 1.3) + (end -1.3 1.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f8128603-a080-46d0-b594-a8a3714ab216") + ) + (fp_line + (start 1.3 1.3) + (end 1.3 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "cf56e076-9ae8-4879-afa3-556c53f99849") + ) + (fp_line + (start 0 -1) + (end -0.3 -1.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8e721419-1349-4280-a677-7cff810f075a") + ) + (fp_line + (start 1.3 -1) + (end 1 -1.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a842007c-8f9c-4415-b0c0-62c32fa4b7e5") + ) + (fp_line + (start 0.3 -1.3) + (end 0 -1) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f822344b-461b-4833-8fc8-58ae124077bc") + ) + (fp_line + (start 1 -1.3) + (end -1.3 -1.3) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ee1b717d-0ee8-4c3e-bf79-c6d365c0d76f") + ) + (fp_circle + (center 0 0) + (end -0.9 0) + (stroke + (width 0.1) + (type solid) + ) + (fill no) + (layer "F.Fab") + (uuid "5455c7ec-4fae-4965-a741-8de6efc27fe0") + ) + (fp_circle + (center 0 0) + (end 0 -0.05) + (stroke + (width 0.1) + (type solid) + ) + (fill no) + (layer "F.Fab") + (uuid "64de1c69-b2f7-40c9-8e7a-eb8a8be10c17") + ) + (fp_circle + (center 0 0) + (end 0 -0.125) + (stroke + (width 0.1) + (type solid) + ) + (fill no) + (layer "F.Fab") + (uuid "b4e999d0-1667-444a-99f4-afe2243390dc") + ) + (fp_circle + (center 0 0) + (end 0 -0.2) + (stroke + (width 0.1) + (type solid) + ) + (fill no) + (layer "F.Fab") + (uuid "dfe2c4e9-b2c9-49b5-9452-0f9ef60b9704") + ) + (fp_text user "${REFERENCE}" + (at 0 3.5 90) + (layer "F.Fab") + (uuid "5b388f73-ca85-49f8-8a0a-efde846334ac") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd roundrect + (at 0 1.5 90) + (size 1 1) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.1) + (net 39 "Net-(J3-In)") + (pinfunction "In") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "c019020c-fc91-48a5-876f-61f77a3cc9e2") + ) + (pad "2" smd roundrect + (at -1.475 0 90) + (size 1.05 2.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.1) + (net 28 "GND") + (pinfunction "Ext") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "ac5c7a20-e8a9-4a90-89d0-c8941f6c34d2") + ) + (pad "2" smd roundrect + (at 0 -1.5 90) + (size 1 1) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.1) + (net 28 "GND") + (pinfunction "Ext") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "1396028c-e367-4eb0-83b1-84ef482ebde3") + ) + (pad "2" smd roundrect + (at 1.475 0 90) + (size 1.05 2.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.1) + (net 28 "GND") + (pinfunction "Ext") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "8afa4df9-2fe3-4963-8172-40765b0f7e82") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Connector_Coaxial.3dshapes/U.FL_Molex_MCRF_73412-0110_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "a58034cd-7480-4908-a922-537be09a924a") + (at 92.46 136.43) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C24" + (at 2.663 0.095 0) + (layer "F.SilkS") + (uuid "b36b9652-c4d9-4505-aa45-6e28d90ec0e1") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10n" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "00483293-cfac-4f30-a628-82c5346da698") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "28e4d15d-bde5-4b19-b3ba-92ca8d71e9a5") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "ae8ca39f-cd77-425a-8414-138a4736b6ee") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/f293f62f-7d8f-4da9-a1cc-aee5007551fe") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0795cf65-6af4-4b05-b156-e49d1139a6ac") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "ebdbdd91-ba3e-4e3b-bf75-33f8aadd7927") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cad88945-0e8d-40a1-8c47-9488bb799c79") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6a6a785d-a368-45d0-82e1-5655b2f469d6") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c0d0447f-8516-4af4-925e-7df061abff4b") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "e9a893d6-7d0c-4bfd-a96a-60e2587a07b2") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c310a75e-969d-4f2a-8d71-38f8480370c9") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4a186fba-6748-4688-bcff-ae36374e2ac0") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "1668bde9-ae19-46bd-a4f3-9133c3bb58da") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "46a1e1ff-9f5b-4344-807e-9c8d3b75abd7") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "835d502b-9bfa-4ad3-884a-85e7703c286c") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 27 "+3V3") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "a9ff4815-5ab8-4c84-bd83-6c779c3ff1e5") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "a8290328-0bf4-4b25-854a-960db6f5a387") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "ab7c44f0-8d41-49e3-99b3-bbe52b0e57b5") + (at 96.21 132.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C3" + (at -0.162 -1.214 90) + (layer "F.SilkS") + (uuid "27d856e9-6627-4c50-8186-a8f6cfea66d6") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "1200p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "1e968227-dee2-49bf-b347-fd5ddd1b51e7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "bae17274-2ee3-454b-b043-2b75d32525d3") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2a0e8f61-b96c-4740-a3a2-67c797749cf1") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/cabd1671-46bb-4f47-aa68-37b344e5aea7") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "6b4f02e8-cdf8-4d71-9aeb-3a93e61e1996") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "dd558c36-19c3-4814-be8f-ef3090fc0e05") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f458f588-94ed-49fa-827c-bab2825daa11") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "a6737976-ed21-4c4a-96b6-3e507e8db6d5") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ca16e88f-5b17-45b9-871f-94e3f3681e7c") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4f20f27e-9305-4296-a54f-18ac44667f3d") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a20cf392-db20-4333-b67f-d3b5cd1fb9c1") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "208b6b1d-eb9e-4f0f-8fc9-ab2e7293b127") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "98f89ddf-a6de-427a-8e32-cefa9f5988a4") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "12f8974e-3882-4770-a93c-d778f74ebe45") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "355a3ca7-d541-41a2-99c0-e7caf91a780d") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 35 "Net-(U1-RX_IN1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "74209f84-0b21-45a9-aab5-f5d405ac46b0") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "085bd8d7-cf13-418d-b755-644b7506577a") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "ac810c84-8942-4d70-a6e5-c10075b1a5da") + (at 92.46 133.43) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C18" + (at -0.004 -2.747 0) + (layer "F.SilkS") + (uuid "7664ea00-2371-4bbe-8a95-7dc6e83165f7") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10n" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "217b0507-af6a-41e6-b958-7c188993b6a5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "0f089a12-7258-45cb-9625-3a313d1a2779") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "db657abc-2cf9-417f-b8c0-2140d118c808") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/e53b3512-2272-4faa-bd3f-923fc18ec4e9") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "b41fd410-817a-46aa-a5a6-5e1f1a9c8c9d") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4638c94a-17e8-49c6-bbc5-cb98805eadcb") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b6764c63-988d-4596-b23e-8e482bb1aee4") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f3cb3380-6b8d-4b5e-9a26-c76ea30ea045") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1436b721-541e-4264-9518-781afc9970cb") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b44352b5-3745-4ede-bd45-4a70d903160b") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7dc5bf32-a4a4-4efe-a7a5-3ff90c572455") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "8e3c0d7c-f04c-4a64-9bb8-10674cf253ee") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a5ba0fbb-e4d2-4477-a1da-d8bc70235c14") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "65076496-dfe3-45a1-86fd-3d3198af7e2b") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "6495c7f1-3849-420d-8110-468a41e98c2d") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 29 "Net-(U1-VDD_PA)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "3a1307e1-f021-4e60-aa78-c287b8000e52") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "5c98a4f4-2589-4b35-94b6-96652f3818f7") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Inductor_SMD:L_0603_1608Metric" + (layer "F.Cu") + (uuid "b32a19ca-fb00-4357-8c10-8cb306220fbe") + (at 100.71 134.18 90) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "Reference" "L1" + (at 0.068 3.811 90) + (layer "F.SilkS") + (uuid "fcfe13ce-ce63-4c52-9509-5aa678b87cc1") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "150n" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "8916dc86-0e7d-407d-99da-50fa15126d11") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "fff8528a-9b18-4edc-9426-aff85409594a") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Inductor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7f296066-ac52-495c-bd0e-d8696909e2f5") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Choke_* *Coil* Inductor_* L_*") + (path "/711b19cf-a163-4da2-9582-d9ad39b5043b") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.162779 -0.51) + (end 0.162779 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "aaa4776d-34e4-418c-8b8c-2d02f14dc593") + ) + (fp_line + (start -0.162779 0.51) + (end 0.162779 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8c277211-ca79-466d-b1db-087048717bfe") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b57bfba9-a1f5-4f3b-8506-b012478380fa") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "944ff07e-3225-4db7-8680-094c0e5e95ad") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "51b2f5de-5efc-4a03-b8bc-27cbf65e5742") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f713ae3b-6041-434e-a5d0-289bfbb364c0") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c585fbd0-a4f9-4da3-be10-99f6295f528a") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "bfd1888a-bbda-46fc-bf89-c886d174b1fb") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c48f86ec-bd9b-4614-9528-a7282e26b589") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4c99aae4-6581-4360-ba2d-635df7e4fc9f") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "b8e00001-7871-4ec5-85ef-be2078cc2f55") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 38 "Net-(C10-Pad2)") + (pinfunction "1") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "fe5b1454-e1a7-4840-808e-47900b94ccb9") + ) + (pad "2" smd roundrect + (at 0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 36 "Net-(C2-Pad1)") + (pinfunction "2") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "bc97a0b0-1361-4398-9d0f-64656245378d") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "c1f487da-3da7-4fed-a8c9-e373e10fe4bc") + (at 91.21 126.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C20" + (at 0.061 2.516 90) + (layer "F.SilkS") + (uuid "407c839c-b355-4f82-ba23-87aaa85926ac") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10n" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "187eac3a-3bbd-4084-ab48-60082beefdd4") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "903db2e7-3307-49b5-ac6d-2287ed65aec9") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "529ea2d1-d523-4532-afc9-e9fe51aa7a82") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/04ff1319-25d4-4ea8-8b54-a0923fb4b634") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "0b4f075f-af82-4afd-a18d-51139f4c177e") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "4ecd1eb5-6a05-49dd-919d-3e844b79e293") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6656e971-9ae1-4394-b83d-6ac6d9e46b08") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "0c6d0528-2afe-4531-9f1b-fae7c9b8c01b") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f9e94673-9a07-4214-a885-59243147bd9a") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b38707b9-1ab8-42f2-b2a8-756fa3bab146") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "87459c30-655e-428d-98a2-d3d1f23ed5d9") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "7cdfea98-f121-4639-9160-6a7733682d9d") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "daf073c1-241b-445c-8387-bf97ef8b3653") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "387be559-cd6c-4179-a63a-ff4f2e20c8cb") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "b3c3a582-9f8d-4476-9de6-610fb358a700") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 32 "Net-(U1-BAND_GAP)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "09bad101-36de-42d1-a2e3-ec427f28015c") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "dab4f495-1577-4db8-aee0-bc76ef6320d7") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "c2ef8c0f-2fc5-4b51-a26b-a6956527be5e") + (at 92.46 139.43) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C16" + (at 2.663 0.016 0) + (layer "F.SilkS") + (uuid "36f525da-a210-4ca9-85d3-67bbc8a8fa3e") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "10n" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "6a99f3df-5cea-4a2e-baaf-b4c5f8ded4c1") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d8bab4f4-f8e3-46ad-8250-d0aa6a58b3f8") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "4b2595c0-2620-44a3-9008-d3a1343a483f") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/9a462298-2327-4701-97b3-b544ce827871") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "20fcf3a0-2d02-432e-bf5a-9309df2f76c7") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "2b9eaf02-5409-4e1d-82fc-ba461fc0caf8") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "35663b33-46f0-4323-b637-ff480d597cdd") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cc5fff59-207e-461b-aef0-46312f26c593") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "49f5b2b0-dfa7-4d16-b19b-b842a4a70431") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cf08b2ae-daed-40b4-81c0-5cc770fefaad") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a9d68d4e-f7f5-43ae-8707-7b37f150e717") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ffe8f82f-1f9d-49d1-9867-56955078363b") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "92f804d3-48e2-44cd-aed9-68d67e685446") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5b5947a9-1f3d-4bb2-81ea-297a2ef94aa0") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "66f9fb80-868c-4dbe-9637-ba98f1f3832c") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 34 "Net-(U1-VDD_A)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "ed4cad1d-7a4c-4a03-9622-18fb7d3f2e32") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "26ae22ca-5afa-4036-ad67-060ecb05ffba") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "TestPoint:TestPoint_Pad_D1.0mm" + (layer "F.Cu") + (uuid "cc72e1c0-59ad-4df7-969f-6dc4693c363e") + (at 87.96 126.68) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Reference" "TP2" + (at 0.305 -1.966 90) + (layer "F.SilkS") + (uuid "8a050139-f693-467b-a307-f5699a1a5c4a") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "TestPoint" + (at 0 1.55 0) + (layer "F.Fab") + (uuid "eecd3c16-cbdd-4d4c-b7a6-419ebeefadb5") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f0fe2c57-478b-4626-8682-c2bc7836ff3e") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "test point" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c9179ff7-44cb-4f56-b561-38b4a8a2e720") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Pin* Test*") + (path "/8753ae84-d77e-48fe-b984-f73886326376") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr exclude_from_pos_files) + (fp_circle + (center 0 0) + (end 0 0.7) + (stroke + (width 0.12) + (type solid) + ) + (fill no) + (layer "F.SilkS") + (uuid "224b21c4-f75c-4c45-946d-499214e7567c") + ) + (fp_circle + (center 0 0) + (end 1 0) + (stroke + (width 0.05) + (type solid) + ) + (fill no) + (layer "F.CrtYd") + (uuid "1277425a-c476-49e4-b013-5c7c6e2bc48e") + ) + (fp_text user "${REFERENCE}" + (at 0 -1.45 0) + (layer "F.Fab") + (uuid "ba8ae044-df18-44bd-950c-b27779b6727c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (pad "1" smd circle + (at 0 0) + (size 1 1) + (layers "F.Cu" "F.Mask") + (net 56 "Net-(U1-IRQ)") + (pinfunction "1") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "c4fc9dcf-b7f1-436f-bf57-18ea58452322") + ) + (embedded_fonts no) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "d4106417-94fe-401e-be4c-75b16d21b921") + (at 101.46 124.43) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C15" + (at 2.68 -0.732 180) + (layer "F.SilkS") + (uuid "87c6ddec-f14a-40ac-b1c5-cbcbbc429229") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "27p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "87e5396a-de35-47ab-bc16-be25c24d1f1c") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "50e98c26-a174-4ca1-b79d-b18e1eab21be") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f67de633-7a5a-4df9-956c-3c28805c6173") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/8eb869eb-78cc-4da9-b1be-b7c6021965a8") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd exclude_from_bom dnp) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "1d6ce0e6-9530-4542-8fd8-680bef2e7c5d") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "afb218e3-1f9b-42a0-8308-e210eee9949c") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "01df489a-f4c1-4419-a578-7a01b67553d1") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "407125c2-e596-49ee-88e5-3282d3a4a2f9") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "390fe9ee-218c-430e-bec3-83bd81aac1aa") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d6ea3504-f301-4620-9fd9-26ebaf11b26c") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2e47fd15-0126-4755-b379-5fafaa03d1a3") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fac1c6ae-2bc2-4bd7-ae36-531225d223da") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ff173654-bf2d-4472-b6ae-2a2eff24247f") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "87a138fa-d91e-4833-8838-6fb54cb1515a") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "5ffc1a2c-5271-4ffe-ab62-b2a55aff4bb7") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 40 "Net-(AE1-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "24bd7923-4a76-4c52-a38a-4ba1aa64e095") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "3e18ec06-3ec0-4b43-bb3a-b70d8ad0875f") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "dcfe85fb-9e9b-457c-a3a4-18b4be372987") + (at 98.46 134.93) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C11" + (at 4.156 0 0) + (layer "F.SilkS") + (uuid "db8772b7-de88-45f4-a63a-d3180ff4fe0e") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "1500p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "1917a1d1-47db-4258-bfbf-f02c16724974") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5618a589-2342-4e21-b378-af157dd07053") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "7fb94b51-2dbd-4729-bc35-5bd58fb35018") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/d2bb7a31-cc5d-498c-927b-dc44ec18f848") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "eb66bb10-098c-4632-8c8e-d8c28a907865") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "a126bd7b-bac8-4925-96e8-7c1596a82bfa") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "6c490aa1-39e4-4b26-adce-d1e543ac5b7d") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c65d6a37-1e4f-4b1c-a280-db036052fa7f") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "cbb8349b-d40b-4fd2-892b-da83480f7526") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1bf5857b-c3f3-4877-a37e-aad38e7d0d8d") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ebf12ffb-582b-4e9f-a48c-d2272c1fe020") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "4b549317-5f47-40f7-ad5f-136265f8af92") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "21a2c1f7-1c23-4920-8928-f515eeb8df9f") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c8417138-95db-4b00-a017-3a4be942e899") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "26138a13-b641-48d4-869e-ae88d34509cb") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 33 "Net-(U1-TX_OUT)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "e7dfce1d-8f90-4ad8-b876-aa941312fc96") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 38 "Net-(C10-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "a973ee83-5372-47f2-8f38-453aaac7ee11") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "e13d9c61-3d18-4187-8ff5-ede6bd0c567a") + (at 98.46 124.43 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C14" + (at 2.067 0.351 90) + (layer "F.SilkS") + (uuid "40340e5b-91e5-40f7-98af-0c578ed1cf4f") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "65p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "ff39bd0f-0842-4dff-83ee-b8cfb81a4160") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "f37c1fca-4162-4715-8690-f2bd2bcf4383") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 180) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "8779b9e6-eaac-4919-b339-f5d192de07fe") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/ff517344-0061-4430-a343-05a61517c13f") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d69bdda0-31e6-4539-8889-b659b869e7b7") + ) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "d3945e56-6119-4105-8407-769124468657") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "279486eb-c970-4006-b60c-2d0cba62fabf") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4796f299-67e9-492a-9ccd-14565dbf4a89") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "be61a3b7-d69a-49ad-a660-f8211e041ee1") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "b414d9bd-f8e4-4038-b93b-c71d67dce827") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "62179139-fc4e-4733-a7a4-cdd11b087175") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "840c9c48-a64c-48fd-a2a9-449913998d15") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a444c8fb-f5a9-4d9d-a817-8f3e6ef810a5") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "5c78b11d-97fe-4778-b07f-eec89cfbda6d") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "a3a48acb-46b9-43c3-a9d4-3368f90500f5") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 40 "Net-(AE1-Pad1)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "826d4824-065a-4c90-8039-2312166ba69d") + ) + (pad "2" smd roundrect + (at 0.775 0 180) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "550f91b2-62f8-4708-8ba9-e7b1fb4e8877") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "e2ea5183-60dc-45d2-9085-602ba107ebe1") + (at 89.71 126.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C19" + (at 0 2.873 90) + (layer "F.SilkS") + (uuid "1bb9b313-e2ba-41e1-9e85-450e110402c0") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "2.2µ" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "701e7df1-7dba-4d1b-b9db-50b84532026a") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "b6b02049-aec7-48e0-bb84-f372dbc50901") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "81462773-461b-4ef2-94f7-0806da3c06a1") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/9aa01427-33b6-4f86-9535-5ce7a2a55403") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "813de639-184b-4cbc-93f4-28b92c426b7c") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "9e065318-a758-45af-84ef-b1288d10630c") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "32040821-4d56-4871-89c8-979d03b14d35") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "4e7dc948-5b85-4376-bfbb-360e3c0a1146") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "915ff7a3-ff73-4856-99ba-007f68bf81cd") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "726ecc68-64b1-44d7-a272-a956855f941d") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "19597ef6-6ae9-41d6-b3b4-23e48376dbaa") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b1f855a1-3306-4ab6-bded-0eebabfa3928") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "629752e0-103f-40d0-ab47-687ec8a57ddc") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "28e069ee-250d-46d7-960d-e6e044faabed") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "70a9520f-2385-47d1-a639-873ebe980a96") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 32 "Net-(U1-BAND_GAP)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "6cb558d2-8b91-401a-8bcd-66e60d38f3ef") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "6f54ae4c-5720-4478-af74-17f6bedd094f") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "e3de088e-9c8a-40ec-8081-a26d3841cda1") + (at 84.21 138.68 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C25" + (at 0 -1.43 90) + (layer "F.SilkS") + (uuid "5729bc55-7f0d-4000-89b3-50d12abc66e6") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "27p" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "f166879a-da93-4030-a740-3c980583c7b7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "dd9d675d-7c8c-489e-9744-86aae48b8487") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "d9863ca5-8895-4bf0-b26f-133b1afecf94") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/6b834fe5-6ab5-42ab-98c8-96463a1d8ce8") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "820d3e99-bac1-4229-beef-7c0613835f4b") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "8c2a8be1-8921-48d5-8ca7-93f213027a15") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f017347c-42f4-445e-afd9-3f3f6f980dc0") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1fd78b5e-cd5a-444c-a1b2-5d8058ed0093") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "23bf887e-b0dd-4acc-8ef2-6c64e76e2d95") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1ede2e3f-9267-4a34-a3ca-c4e4746c74ce") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "e8e107f9-3c44-4b8b-aec5-813a109f7010") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b1e03352-2d28-4cb0-891d-56b7fbe4a38c") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0249dec6-5303-4c05-a3b4-26ad27314891") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "b5908fd8-e43b-4d38-9367-71b26a636ca0") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "c484adc2-13c6-4b8c-b777-6376b681b19d") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 41 "Net-(U1-OSC_OUT)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "f1857638-1d8a-4552-b101-267264a8ba1c") + ) + (pad "2" smd roundrect + (at 0.775 0 90) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "f71d5621-3a2e-4706-aeb0-3a745ec8ba09") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "e848e8f7-93e3-48bc-84ed-674a7f0fafce") + (at 92.46 131.93) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C17" + (at -0.004 -2.39 0) + (layer "F.SilkS") + (uuid "a8faa44d-b024-4aca-a177-821b3835d9bd") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "2.2µ" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "11062dea-c174-4f6a-ad2d-84ea85814def") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "652fa036-ffe0-4d3c-91b6-3b83882d273d") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "2522163b-cdab-4354-a565-0f966e5d5b9c") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/10d5af56-561a-4afa-8112-6a670c4d2560") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "5e4faa62-2780-40c5-ba34-d82a38dc3a0a") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "018adb1a-cac5-4718-a83a-a3b54711a5fc") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "088adfc4-eb8f-4fce-ae8c-12a3e6186b71") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "1afcd2b3-ee9a-4c6f-ab7c-2b5ffa25cc21") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "372e3d36-72ed-42d4-a037-d1e548c473a5") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "17c4d1fc-8e80-4f50-88a5-50ab7c7bb86f") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "fb8f16c5-a490-4b9a-867c-9f1ff79d57c0") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "937c70a3-f90e-4886-8885-f9a83c247ed6") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6fa18081-dc68-40b3-afb3-1cfa5f70c402") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f2457015-5670-4576-9333-7964751697b5") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "b38afda1-bffd-4f3b-a808-4027a0d5d100") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 29 "Net-(U1-VDD_PA)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "f173f363-c080-4ce4-a404-39ad3092e7b2") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 28 "GND") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "3d65ac9d-cc7f-4e6a-8fe5-9314f1342aa0") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Capacitor_SMD:C_0603_1608Metric" + (layer "F.Cu") + (uuid "e956a724-9f0e-46d3-b991-a6b8b6168774") + (at 98.46 136.43) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Reference" "C10" + (at 0.02 1.29 0) + (layer "F.SilkS") + (uuid "0b6f2a72-a9dd-4797-8169-6620682d6008") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "1500p" + (at 0 1.43 0) + (layer "F.Fab") + (uuid "a4502900-1d64-43a5-b3e2-2cbb492da9a7") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "5df2f11c-2db1-4c3e-b56c-d54a64879190") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "506a9e37-92d8-4545-9ed5-190885efe9cb") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "C_*") + (path "/4a2a7c44-ef14-415d-9487-9777c8c9bb1d") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.14058 -0.51) + (end 0.14058 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "220d6029-e061-4d84-a2f0-03611a0b4bfa") + ) + (fp_line + (start -0.14058 0.51) + (end 0.14058 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "89a72dca-2c49-4b22-a951-084eed8bbbf8") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "d23caac2-47e9-4ac4-862e-c6113e51fa81") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "dfdecaac-91ca-475a-9d4e-7866a9b5a61e") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "388fcc32-64fe-4361-b3cf-ef69c0060b3f") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f5b47894-7cec-483c-ac55-3bcc5510ffc2") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6221c632-56cf-4df9-b767-90567bac177b") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "a77cc9b3-c355-439d-b040-cb5e86bc5b91") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c1131b2c-ce03-4fd6-85fa-b05076b44c37") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ed2a0725-22c5-4677-bd4f-fd4feff03afc") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "c66bcc5e-5ae5-463a-93dc-8c5bc795d34c") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 33 "Net-(U1-TX_OUT)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "a0d81cf0-e5d9-43a0-8fa4-49832562f7fd") + ) + (pad "2" smd roundrect + (at 0.775 0) + (size 0.9 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 38 "Net-(C10-Pad2)") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "a543ab72-76a6-4a0f-a0ba-87910db204e4") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Crystal:Crystal_SMD_Abracon_ABM8G-4Pin_3.2x2.5mm" + (layer "F.Cu") + (uuid "ed023f1b-9327-48a3-942f-eb00e970f44e") + (at 87.21 138.68) + (descr "Abracon Miniature Ceramic Smd Crystal ABM8G http://www.abracon.com/Resonators/ABM8G.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (property "Reference" "Y1" + (at 0 2.44 0) + (layer "F.SilkS") + (uuid "4f925242-9484-4a31-b056-28c69fe46698") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "13.56M" + (at 0 2.45 0) + (layer "F.Fab") + (uuid "8f5e474f-4a47-4212-bf7b-f071d52a4150") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "174eddaf-6023-482d-81ea-6875abb42566") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Three pin crystal, GND on pin 2" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "15bebd9d-7b92-4c39-a617-b14b072a4f27") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Manufacturer" "Abracon" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "c88885f2-9ca9-43a6-80f2-c03fe64509aa") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "ManufacturerRef" "ABM8G-13.560MHZ-B4Y-T" + (at 0 0 0) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "a95ceea6-f6fc-4dc9-9623-c76b61913a62") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Crystal*") + (path "/c71aa1f9-1a5d-4b3a-85af-16af5c76bec4") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -2 -1.65) + (end -2 1.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e130905e-aca3-4c92-a70a-6710268c2692") + ) + (fp_line + (start -2 1.65) + (end 2 1.65) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "94944ca5-1365-48ea-8b5c-7445da1dfc6f") + ) + (fp_line + (start -2.1 -1.7) + (end -2.1 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c9cdd3b9-9894-40e4-9772-f422ff8dae7d") + ) + (fp_line + (start -2.1 1.7) + (end 2.1 1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "983ab219-0819-4de0-bbd7-802a3849fb41") + ) + (fp_line + (start 2.1 -1.7) + (end -2.1 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "41fba144-459d-4e72-aea0-9436e13c6d98") + ) + (fp_line + (start 2.1 1.7) + (end 2.1 -1.7) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "c578d6e6-f328-4c7b-a138-5c6a3eb1456d") + ) + (fp_line + (start -1.6 -1.05) + (end -1.4 -1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "580ee565-fd1c-43eb-8a76-a981f58d9e9f") + ) + (fp_line + (start -1.6 0.25) + (end -0.6 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "9715448d-5be6-4a86-964b-8e803aa5e69d") + ) + (fp_line + (start -1.6 1.05) + (end -1.6 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "941987c1-b4cc-429c-a082-94cf55819d8f") + ) + (fp_line + (start -1.4 -1.25) + (end 1.4 -1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "2a291eb8-768d-4a86-96dc-2cb0493aca3f") + ) + (fp_line + (start -1.4 1.25) + (end -1.6 1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "ffb24649-068c-44fc-928c-540ab14692a4") + ) + (fp_line + (start 1.4 -1.25) + (end 1.6 -1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "c4aaca53-5d76-453b-8636-2d1a70bb79ef") + ) + (fp_line + (start 1.4 1.25) + (end -1.4 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "47db42d2-379a-47ff-a090-c7a4983fc485") + ) + (fp_line + (start 1.6 -1.05) + (end 1.6 1.05) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "da9f5146-bbc3-49c2-a959-0a00f77f0222") + ) + (fp_line + (start 1.6 1.05) + (end 1.4 1.25) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "0631f3de-b76e-4f7a-a361-7db39ed86a98") + ) + (fp_text user "${REFERENCE}" + (at 0 0 0) + (layer "F.Fab") + (uuid "4049f169-3377-4007-b4c4-5a7e05826afe") + (effects + (font + (size 0.7 0.7) + (thickness 0.105) + ) + ) + ) + (pad "1" smd rect + (at -1.1 0.85) + (size 1.4 1.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (net 41 "Net-(U1-OSC_OUT)") + (pinfunction "1") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "291a0e0a-2e7d-43ca-9f50-0da3162b312a") + ) + (pad "2" smd rect + (at 1.1 0.85) + (size 1.4 1.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (net 28 "GND") + (pinfunction "2") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "24ec7936-5888-481d-94e5-a09c1eb78cb9") + ) + (pad "3" smd rect + (at 1.1 -0.85) + (size 1.4 1.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (net 42 "Net-(U1-OSC_IN)") + (pinfunction "3") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "06944059-c68f-49d4-9f4c-a45356a9ec01") + ) + (pad "4" smd rect + (at -1.1 -0.85) + (size 1.4 1.2) + (layers "F.Cu" "F.Mask" "F.Paste") + (tenting + (front none) + (back none) + ) + (uuid "39695b9c-7db2-407f-be5f-7e2da156f5a0") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_Abracon_ABM8G-4Pin_3.2x2.5mm.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Inductor_SMD:L_0603_1608Metric" + (layer "F.Cu") + (uuid "f0346b97-2532-474c-9b4b-951e963f65a5") + (at 100.71 131.18 90) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "Reference" "L2" + (at -0.011 4.446 90) + (layer "F.SilkS") + (uuid "eb0c58bf-7a74-4290-8710-15f6f09314b8") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + ) + ) + (property "Value" "330n" + (at 0 1.43 90) + (layer "F.Fab") + (uuid "b75c4895-fabb-4266-b1d0-57a02f7b8639") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "71097f1e-3599-4b88-b8df-23b5320f3db4") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "Inductor" + (at 0 0 90) + (unlocked yes) + (layer "F.Fab") + (hide yes) + (uuid "55d8f9f4-d970-47a8-982c-b36e17e50478") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property ki_fp_filters "Choke_* *Coil* Inductor_* L_*") + (path "/6751d0cc-74e2-4224-82af-13c6ebbbab7b") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr smd) + (fp_line + (start -0.162779 -0.51) + (end 0.162779 -0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "7bf4d199-b870-4039-bfd1-bc5c228701f0") + ) + (fp_line + (start -0.162779 0.51) + (end 0.162779 0.51) + (stroke + (width 0.12) + (type solid) + ) + (layer "F.SilkS") + (uuid "e0049a69-4515-4af9-9942-58b9508c8f69") + ) + (fp_line + (start 1.48 -0.73) + (end 1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "f821484f-c22d-4702-b916-a7400a96bb02") + ) + (fp_line + (start -1.48 -0.73) + (end 1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "ca214f4b-d23d-47c8-ac69-a991a6ac27ae") + ) + (fp_line + (start 1.48 0.73) + (end -1.48 0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "32d2d698-a9f1-425b-b70c-c0ca6e095d27") + ) + (fp_line + (start -1.48 0.73) + (end -1.48 -0.73) + (stroke + (width 0.05) + (type solid) + ) + (layer "F.CrtYd") + (uuid "84a0e89d-d533-4c02-83e5-ca0a8da74477") + ) + (fp_line + (start 0.8 -0.4) + (end 0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "f6a2cf6e-d2b6-4c40-afe8-d6c581c91775") + ) + (fp_line + (start -0.8 -0.4) + (end 0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "55a47332-7725-4d15-a0eb-d8908641b3ea") + ) + (fp_line + (start 0.8 0.4) + (end -0.8 0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "19a166b2-d9f0-4f56-a268-586193c3f2b4") + ) + (fp_line + (start -0.8 0.4) + (end -0.8 -0.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "F.Fab") + (uuid "6500bd82-d8bb-4fd6-9ee3-7d99a43cf324") + ) + (fp_text user "${REFERENCE}" + (at 0 0 90) + (layer "F.Fab") + (uuid "91eb921e-dc6b-4f58-aa9e-0be917f27a93") + (effects + (font + (size 0.4 0.4) + (thickness 0.06) + ) + ) + ) + (pad "1" smd roundrect + (at -0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 36 "Net-(C2-Pad1)") + (pinfunction "1") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "786c8844-0ba5-431c-b4f1-bc9d09da46bd") + ) + (pad "2" smd roundrect + (at 0.7875 0 90) + (size 0.875 0.95) + (layers "F.Cu" "F.Mask" "F.Paste") + (roundrect_rratio 0.25) + (net 37 "Net-(C5-Pad2)") + (pinfunction "2") + (pintype "passive") + (tenting + (front none) + (back none) + ) + (uuid "1e43e177-52e3-4132-9b16-661c4d08dc8c") + ) + (embedded_fonts no) + (model "${KICAD9_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (layer "B.Cu") + (uuid "00000000-0000-0000-0000-00005d34bf28") + (at 81.28 147.32 -90) + (descr "Through hole straight socket strip, 2x10, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 2x10 2.54mm double row") + (property "Reference" "J1" + (at -1.27 2.77 270) + (layer "B.SilkS") + (uuid "4a81839d-26a4-4bed-9e02-7dcbfd2b65c5") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "~" + (at -1.27 -25.63 270) + (layer "B.Fab") + (uuid "630eec63-9ec4-4388-9fc2-fba328019145") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 270) + (layer "F.Fab") + (hide yes) + (uuid "f3f27ed4-fc18-469b-9fd1-a15703511ed5") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 270) + (layer "F.Fab") + (hide yes) + (uuid "c410907d-6ac3-4dd7-9ebd-124b6b62e878") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (path "/c17e6c8e-fbe0-4da8-9757-80b87eafaf3d") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr through_hole) + (fp_line + (start -3.87 1.33) + (end -1.27 1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "a3d3a78b-9c5e-4f37-8d24-e912f8667853") + ) + (fp_line + (start -3.87 1.33) + (end -3.87 -24.19) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "9e4132e8-f33f-4ef5-ae41-f18597d23abb") + ) + (fp_line + (start -1.27 1.33) + (end -1.27 -1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "40c1dba2-6f92-42f0-b720-355c3bab20a4") + ) + (fp_line + (start 0 1.33) + (end 1.33 1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "4d75c3ad-adfb-4cdd-971e-276caa817204") + ) + (fp_line + (start 1.33 1.33) + (end 1.33 0) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "c98605ab-28ef-4a64-ad0e-5e7ff4c72350") + ) + (fp_line + (start -1.27 -1.27) + (end 1.33 -1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "86d05107-355c-485d-9a3a-4f4283d2cc2a") + ) + (fp_line + (start 1.33 -1.27) + (end 1.33 -24.19) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "2ce512a3-1687-45cc-a3af-96e57bace882") + ) + (fp_line + (start -3.87 -24.19) + (end 1.33 -24.19) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d2b1ef86-7c99-4bf3-841f-e96b27e0b7be") + ) + (fp_line + (start -4.34 1.8) + (end 1.76 1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "1d54ce7f-b76d-49b7-914b-f86d18c5bb19") + ) + (fp_line + (start 1.76 1.8) + (end 1.76 -24.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "46dbf0a4-fa85-4682-80db-dc23e4ce405a") + ) + (fp_line + (start -4.34 -24.6) + (end -4.34 1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "e9a6e5ef-82f1-4eaa-86ce-95e01301aa15") + ) + (fp_line + (start 1.76 -24.6) + (end -4.34 -24.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "ab49f15c-2095-4089-ae5e-0f44ffa58f5a") + ) + (fp_line + (start -3.81 1.27) + (end 0.27 1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "6ff09f42-8cd7-41d1-ab7f-05cd3d10574d") + ) + (fp_line + (start 0.27 1.27) + (end 1.27 0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "9b45fa50-e439-42e4-9917-4487535000d6") + ) + (fp_line + (start 1.27 0.27) + (end 1.27 -24.13) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "b86368d5-560e-4e68-af31-23df71ac5515") + ) + (fp_line + (start -3.81 -24.13) + (end -3.81 1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "d5a12142-88c6-4fc0-b5f9-4c9825f8338f") + ) + (fp_line + (start 1.27 -24.13) + (end -3.81 -24.13) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "dbc4d4b7-f154-4c03-8b75-ffddb1445411") + ) + (fp_text user "${REFERENCE}" + (at -1.27 -11.43 180) + (layer "B.Fab") + (uuid "8699ab76-77fa-4c4b-8ffc-5e9e592b7b21") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" thru_hole rect + (at 0 0 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 53 "Net-(J1-D1)") + (pinfunction "D1") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "e58572b6-744a-4b95-98de-883349138fbc") + ) + (pad "2" thru_hole oval + (at -2.54 0 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 51 "Net-(J1-D0)") + (pinfunction "D0") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "d806f073-31f3-4706-ad1a-48c957380916") + ) + (pad "3" thru_hole oval + (at 0 -2.54 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 4 "unconnected-(J1-D3-Pad3)") + (pinfunction "D3") + (pintype "bidirectional+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "8242a7a5-c7c3-4f49-ab0b-b08e0e6524ac") + ) + (pad "4" thru_hole oval + (at -2.54 -2.54 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 54 "Net-(J1-D2)") + (pinfunction "D2") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "e60d0a08-ffb2-44ea-b6f6-6123b69cac67") + ) + (pad "5" thru_hole oval + (at 0 -5.08 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 1 "unconnected-(J1-D5-Pad5)") + (pinfunction "D5") + (pintype "bidirectional+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "02e71260-4c20-438a-ab3c-cc663d715c20") + ) + (pad "6" thru_hole oval + (at -2.54 -5.08 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 3 "unconnected-(J1-D4-Pad6)") + (pinfunction "D4") + (pintype "bidirectional+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "5218a820-5f4a-4ed1-a138-4e6f183d94c3") + ) + (pad "7" thru_hole oval + (at 0 -7.62 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 44 "Net-(J1-D7)") + (pinfunction "D7") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "3a5e4559-58f5-4000-a338-ba79fcee024d") + ) + (pad "8" thru_hole oval + (at -2.54 -7.62 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 43 "Net-(J1-D6)") + (pinfunction "D6") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "061fcb93-e1bc-4d42-bc98-70785a7881dd") + ) + (pad "9" thru_hole oval + (at 0 -10.16 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 50 "Net-(J1-D9)") + (pinfunction "D9") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "d1dcdfe2-af92-4e78-928a-7dca2e39edff") + ) + (pad "10" thru_hole oval + (at -2.54 -10.16 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 52 "Net-(J1-D8)") + (pinfunction "D8") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "da403f9b-3c26-435e-9110-9c31c2217940") + ) + (pad "11" thru_hole oval + (at 0 -12.7 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 49 "Net-(J1-D11)") + (pinfunction "D11") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "aad2329c-8101-4a91-a0e2-8db78f8326c5") + ) + (pad "12" thru_hole oval + (at -2.54 -12.7 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 47 "Net-(J1-D10)") + (pinfunction "D10") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "740894e3-31ad-4413-9646-1f73793b0a03") + ) + (pad "13" thru_hole oval + (at 0 -15.24 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 48 "Net-(J1-D13)") + (pinfunction "D13") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "9053c607-9ce9-4cd6-9643-44ff90ca3ec7") + ) + (pad "14" thru_hole oval + (at -2.54 -15.24 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 46 "Net-(J1-D12)") + (pinfunction "D12") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "60041906-fbe7-4df7-aba8-5ac2a4957baa") + ) + (pad "15" thru_hole oval + (at 0 -17.78 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 6 "unconnected-(J1-D15-Pad15)") + (pinfunction "D15") + (pintype "bidirectional+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "aae8c995-95a0-4ec8-8c95-89ea7103c966") + ) + (pad "16" thru_hole oval + (at -2.54 -17.78 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 45 "Net-(J1-D14)") + (pinfunction "D14") + (pintype "bidirectional") + (tenting + (front none) + (back none) + ) + (uuid "54ac43df-9158-465a-aa6b-369fdbb25def") + ) + (pad "17" thru_hole oval + (at 0 -20.32 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 28 "GND") + (pinfunction "GND") + (pintype "power_out") + (tenting + (front none) + (back none) + ) + (uuid "d6faa77e-7328-4254-8107-1da69ec8ca50") + ) + (pad "18" thru_hole oval + (at -2.54 -20.32 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 2 "unconnected-(J1-VDD-Pad18)") + (pinfunction "VDD") + (pintype "power_out+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "20d612ce-16da-4195-a121-14891e400422") + ) + (pad "19" thru_hole oval + (at 0 -22.86 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 5 "unconnected-(J1-GNDA-Pad19)") + (pinfunction "GNDA") + (pintype "power_out+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "a58305e3-e792-41f4-9b8c-98610c823242") + ) + (pad "20" thru_hole oval + (at -2.54 -22.86 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 27 "+3V3") + (pinfunction "VDDA") + (pintype "power_out") + (tenting + (front none) + (back none) + ) + (uuid "a003fd82-eeb3-4d36-a20e-765707a148f2") + ) + (embedded_fonts no) + (model "${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x10_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (footprint "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (layer "B.Cu") + (uuid "00000000-0000-0000-0000-00005d34bf52") + (at 81.28 68.58 -90) + (descr "Through hole straight socket strip, 2x10, 2.54mm pitch, double cols (from Kicad 4.0.7), script generated") + (tags "Through hole socket strip THT 2x10 2.54mm double row") + (property "Reference" "J2" + (at -1.27 2.77 270) + (layer "B.SilkS") + (uuid "78684c4c-9cc5-4d15-b2ac-4a4f86e2c6ae") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Value" "~" + (at -1.27 -25.63 270) + (layer "B.Fab") + (uuid "a2259f2d-aa56-4597-b48d-5236c1237688") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (property "Datasheet" "" + (at 0 0 270) + (layer "F.Fab") + (hide yes) + (uuid "64cc6851-08e5-4215-8271-1a2dca245975") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (property "Description" "" + (at 0 0 270) + (layer "F.Fab") + (hide yes) + (uuid "21168922-6ed1-4f0c-a773-fa2308b37a86") + (effects + (font + (size 1.27 1.27) + (thickness 0.15) + ) + ) + ) + (path "/6b7c3170-d275-4d63-a85d-67ba254252c8") + (sheetname "/") + (sheetfile "rfid-reader.kicad_sch") + (attr through_hole) + (fp_line + (start -3.87 1.33) + (end -1.27 1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "58d0c41a-9863-4269-8fd1-5555bf24785d") + ) + (fp_line + (start -3.87 1.33) + (end -3.87 -24.19) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "ce62e5cf-7119-4b1e-b674-da325b9777c4") + ) + (fp_line + (start -1.27 1.33) + (end -1.27 -1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "f2992637-24f8-4556-a866-f637a9338729") + ) + (fp_line + (start 0 1.33) + (end 1.33 1.33) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "3ca5808d-c150-464c-8955-f82ef5cbf18c") + ) + (fp_line + (start 1.33 1.33) + (end 1.33 0) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "93371341-1d48-4cbb-9f77-4d34de741558") + ) + (fp_line + (start -1.27 -1.27) + (end 1.33 -1.27) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "d40f11f6-dc88-4928-9401-6776af46fa91") + ) + (fp_line + (start 1.33 -1.27) + (end 1.33 -24.19) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "28d830ac-83f5-4cef-ab76-dfa1b9077e7e") + ) + (fp_line + (start -3.87 -24.19) + (end 1.33 -24.19) + (stroke + (width 0.12) + (type solid) + ) + (layer "B.SilkS") + (uuid "86f2d981-9975-4740-8f05-be76653897be") + ) + (fp_line + (start -4.34 1.8) + (end 1.76 1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "44e15a11-0244-4355-84d8-b74dd99fb423") + ) + (fp_line + (start 1.76 1.8) + (end 1.76 -24.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "23b19ffb-e19a-4813-8228-90bbf6cf53ef") + ) + (fp_line + (start -4.34 -24.6) + (end -4.34 1.8) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "cef9555a-d643-4a4d-8c83-bbdae6f29ea4") + ) + (fp_line + (start 1.76 -24.6) + (end -4.34 -24.6) + (stroke + (width 0.05) + (type solid) + ) + (layer "B.CrtYd") + (uuid "cc2415bf-7977-4203-95fc-b6fed0c8bff2") + ) + (fp_line + (start -3.81 1.27) + (end 0.27 1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "2ea046a7-ea28-4c4c-ad2e-cc29c4ed0e0c") + ) + (fp_line + (start 0.27 1.27) + (end 1.27 0.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "49fc114b-9ecf-4897-a72f-eb4d5f5b2fae") + ) + (fp_line + (start 1.27 0.27) + (end 1.27 -24.13) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "13947a40-f91b-4ca7-b525-f3592c5705a2") + ) + (fp_line + (start -3.81 -24.13) + (end -3.81 1.27) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "3f9db868-c64a-4c1f-aef1-0e592d7f3499") + ) + (fp_line + (start 1.27 -24.13) + (end -3.81 -24.13) + (stroke + (width 0.1) + (type solid) + ) + (layer "B.Fab") + (uuid "eb6e43a4-61e7-4c85-bffb-a4054ee6b24f") + ) + (fp_text user "${REFERENCE}" + (at -1.27 -11.43 180) + (layer "B.Fab") + (uuid "6bf7f79b-3a42-4555-844b-bdb2d97a8569") + (effects + (font + (size 1 1) + (thickness 0.15) + ) + (justify mirror) + ) + ) + (pad "1" thru_hole rect + (at 0 0 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 8 "unconnected-(J2-X1-Pad1)") + (pinfunction "X1") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "06f7b240-0199-47e4-8128-19eb1e4311ee") + ) + (pad "2" thru_hole oval + (at -2.54 0 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 16 "unconnected-(J2-X0-Pad2)") + (pinfunction "X0") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "5758024d-b333-4c72-9644-2f332d42201e") + ) + (pad "3" thru_hole oval + (at 0 -2.54 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 22 "unconnected-(J2-X3-Pad3)") + (pinfunction "X3") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "d60590d0-24b2-426b-8970-8112101280b8") + ) + (pad "4" thru_hole oval + (at -2.54 -2.54 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 23 "unconnected-(J2-X2-Pad4)") + (pinfunction "X2") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "dd009c46-852e-4f70-b264-6d27b22fa29f") + ) + (pad "5" thru_hole oval + (at 0 -5.08 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 24 "unconnected-(J2-X5-Pad5)") + (pinfunction "X5") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "e3b594d7-b430-4f7f-8a35-11db5219a2fb") + ) + (pad "6" thru_hole oval + (at -2.54 -5.08 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 26 "unconnected-(J2-X4-Pad6)") + (pinfunction "X4") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "fb616bfa-db55-4c19-b4ad-d26630f5a95b") + ) + (pad "7" thru_hole oval + (at 0 -7.62 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 10 "unconnected-(J2-X7-Pad7)") + (pinfunction "X7") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "0a49b18c-6356-4bfe-87e6-b94c465959c8") + ) + (pad "8" thru_hole oval + (at -2.54 -7.62 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 14 "unconnected-(J2-X6-Pad8)") + (pinfunction "X6") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "363f5325-f3fd-486d-b4d6-b57e907a112c") + ) + (pad "9" thru_hole oval + (at 0 -10.16 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 7 "unconnected-(J2-X9-Pad9)") + (pinfunction "X9") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "04d1f438-6499-49ad-a1fe-9848e258376f") + ) + (pad "10" thru_hole oval + (at -2.54 -10.16 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 9 "unconnected-(J2-X8-Pad10)") + (pinfunction "X8") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "0746f449-d631-4706-861f-39bcad89d389") + ) + (pad "11" thru_hole oval + (at 0 -12.7 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 25 "unconnected-(J2-X11-Pad11)") + (pinfunction "X11") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "f1dae8df-7a47-4f4e-8e98-02f899bb24ba") + ) + (pad "12" thru_hole oval + (at -2.54 -12.7 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 13 "unconnected-(J2-X10-Pad12)") + (pinfunction "X10") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "1b802127-a0da-44ce-a99f-85b935c9248a") + ) + (pad "13" thru_hole oval + (at 0 -15.24 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 18 "unconnected-(J2-X13-Pad13)") + (pinfunction "X13") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "8e649fec-0b73-4988-a5a6-43a098a3923c") + ) + (pad "14" thru_hole oval + (at -2.54 -15.24 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 12 "unconnected-(J2-X12-Pad14)") + (pinfunction "X12") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "1865df5c-3870-4964-8c6f-be0dc4dd14c0") + ) + (pad "15" thru_hole oval + (at 0 -17.78 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 19 "unconnected-(J2-X15-Pad15)") + (pinfunction "X15") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "b516a7c2-9977-4206-9745-92b7b1334fc1") + ) + (pad "16" thru_hole oval + (at -2.54 -17.78 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 15 "unconnected-(J2-X14-Pad16)") + (pinfunction "X14") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "55e0f62b-5fa0-49e7-8c2a-bca476b7f7bc") + ) + (pad "17" thru_hole oval + (at 0 -20.32 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 11 "unconnected-(J2-X17-Pad17)") + (pinfunction "X17") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "0dcff74f-9b1f-4d9e-9ffa-8a2576988332") + ) + (pad "18" thru_hole oval + (at -2.54 -20.32 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 17 "unconnected-(J2-X18-Pad18)") + (pinfunction "X18") + (pintype "passive+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "7263cc68-4334-41ee-bb10-b4c32d1c462d") + ) + (pad "19" thru_hole oval + (at 0 -22.86 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 21 "unconnected-(J2-GND-Pad19)") + (pinfunction "GND") + (pintype "power_out+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "d5af2ef9-41dc-443b-a93e-4c29bf42fc15") + ) + (pad "20" thru_hole oval + (at -2.54 -22.86 270) + (size 1.7 1.7) + (drill 1) + (layers "*.Cu" "*.Mask") + (remove_unused_layers no) + (net 20 "unconnected-(J2-GND-Pad20)") + (pinfunction "GND") + (pintype "power_out+no_connect") + (tenting + (front none) + (back none) + ) + (uuid "c160d6ba-fdfa-43f1-80c2-9b4fafbff5f1") + ) + (embedded_fonts no) + (model "${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_2x10_P2.54mm_Vertical.wrl" + (offset + (xyz 0 0 0) + ) + (scale + (xyz 1 1 1) + ) + (rotate + (xyz 0 0 0) + ) + ) + ) + (gr_line + (start 109.22 63.5) + (end 109.22 149.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "0242d939-aaa7-477e-9344-467b06975894") + ) + (gr_line + (start 106.68 60.96) + (end 109.22 63.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "0ff3aaf2-a2eb-4a00-a0fb-577999bad5eb") + ) + (gr_line + (start 76.2 149.86) + (end 76.2 63.5) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "87657c2f-ff23-45df-b4e0-02927cbe6556") + ) + (gr_line + (start 78.74 60.96) + (end 106.68 60.96) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "8f910460-2abd-4fc3-815a-5eb89f7e57f5") + ) + (gr_line + (start 106.68 152.4) + (end 78.74 152.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "9ed832d0-e023-414e-9f1e-1967efba2b19") + ) + (gr_line + (start 78.74 152.4) + (end 76.2 149.86) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "a64fa5a7-65ba-46b4-9a48-54c4311e1950") + ) + (gr_line + (start 109.22 149.86) + (end 106.68 152.4) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "cdd5b725-8eb1-4906-aee5-d59397c85340") + ) + (gr_line + (start 76.2 63.5) + (end 78.74 60.96) + (stroke + (width 0.1) + (type solid) + ) + (layer "Edge.Cuts") + (uuid "ce4583be-aacd-41a3-a3f7-ae74c9b65875") + ) + (gr_text "GNDA" + (at 104.14 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d359d92") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "GND" + (at 101.6 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4b5") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D15" + (at 99.06 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4bf") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D13" + (at 96.52 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4c2") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D11" + (at 93.98 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4c5") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D9" + (at 91.44 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4c8") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D7" + (at 88.9 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4cb") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D5" + (at 86.36 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4ce") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D3" + (at 83.82 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4d1") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D1" + (at 81.28 148.59 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4d4") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify right) + ) + ) + (gr_text "D0" + (at 81.28 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a4ef") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D2" + (at 83.82 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a873") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D4" + (at 86.36 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a876") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D6" + (at 88.9 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a879") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D8" + (at 91.44 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a87c") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D10" + (at 93.98 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a87f") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D12" + (at 96.52 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a882") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "D14" + (at 99.06 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a885") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "VDD" + (at 101.6 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a888") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "VDDA" + (at 104.14 143.51 90) + (layer "F.SilkS") + (uuid "00000000-0000-0000-0000-00005d35a88b") + (effects + (font + (size 0.75 0.75) + (thickness 0.15) + ) + (justify left) + ) + ) + (gr_text "NFC READER" + (at 92.71 72.39 0) + (layer "F.SilkS") + (uuid "07d3e9e8-901e-4384-9008-edb92c31ad7f") + (effects + (font + (size 1.5 1.5) + (thickness 0.3) + ) + ) + ) + (segment + (start 90.867 134.112) + (end 91.685 134.93) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "14c29e59-e3e9-4b7d-9ea5-a402a792281d") + ) + (segment + (start 90.814342 134.112) + (end 90.867 134.112) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "2b2e546a-5285-4098-838a-46332bd73ffd") + ) + (segment + (start 90.680747 134.245595) + (end 90.814342 134.112) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "4364ef00-f55d-467d-bc29-93a30eee4c93") + ) + (segment + (start 104.14 144.78) + (end 97.917 138.557) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "489636fa-0877-4af7-ab36-a1cd480e0b49") + ) + (segment + (start 90.886 134.131) + (end 91.685 134.93) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "53594b27-b9de-41c6-ae5e-6647b4a0f91a") + ) + (segment + (start 90.1475 132.68) + (end 90.600798 132.68) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "599474c1-b362-4361-9eeb-c9c3e827bd57") + ) + (segment + (start 90.886 132.965202) + (end 90.886 134.131) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "79e8bc8d-4e25-41c4-87d9-63d690a0f338") + ) + (segment + (start 90.600798 132.68) + (end 90.886 132.965202) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "b2ce82b4-1c85-4528-aa44-150fc947d7ed") + ) + (segment + (start 97.917 138.557) + (end 94.615 138.557) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "b6b2fa83-376d-4db2-9bfd-2b26bb5404a6") + ) + (segment + (start 91.685 134.93) + (end 91.685 136.43) + (width 0.2) + (layer "F.Cu") + (net 27) + (uuid "dac0b57b-3e7f-47f9-90be-2df9ed03a357") + ) + (via + (at 90.680747 134.245595) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 27) + (uuid "c35f5251-a6a0-4719-b9bb-f7658db93ca9") + ) + (via + (at 94.615 138.557) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 27) + (uuid "e7eb393d-29ea-4fb9-8aac-13671c3a8f72") + ) + (segment + (start 90.680747 134.370804) + (end 94.615 138.305057) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "6b46e602-09dd-4b4c-a9eb-288a9dde62d2") + ) + (segment + (start 94.615 138.305057) + (end 94.615 138.557) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "74d5f094-17cf-4daf-81c4-86cb549eec26") + ) + (segment + (start 90.680747 134.245595) + (end 90.680747 134.370804) + (width 0.2) + (layer "B.Cu") + (net 27) + (uuid "7dc63aa5-ee6c-484d-87b1-f99e7e5f8952") + ) + (segment + (start 88.96 128.9925) + (end 88.96 130.18) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "01fd1550-5c55-43ca-8ba6-c4c36ca6f85f") + ) + (segment + (start 85.5225 133.8675) + (end 85.46 133.93) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "1107d5a2-88d7-41d2-890f-bb0ce8a4c1a0") + ) + (segment + (start 86.359 128.178943) + (end 86.359 126.804) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "1f2acc8e-f316-4507-ab5d-cfe6fb15ddf5") + ) + (segment + (start 86.46 128.279943) + (end 86.359 128.178943) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "2eac4846-434e-438b-8e05-078c803f51b6") + ) + (segment + (start 100.71 118.619) + (end 100.711 118.618) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "4101a8d6-b958-4619-bb2f-73cf881ccfd2") + ) + (segment + (start 95.542265 131.905) + (end 95.31 131.672735) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "4b67343f-18c4-41a6-a54d-ace499ce7e7a") + ) + (segment + (start 84.21 137.905) + (end 84.21 137.69) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "590d6175-7bd7-48ba-bbdb-369070f6d327") + ) + (segment + (start 86.46 128.9925) + (end 86.46 130.18) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "694965f3-293d-4aac-a106-5bcd92d0cfde") + ) + (segment + (start 86.46 130.18) + (end 87.71 131.43) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "7d4af0b9-0fac-4d80-8a37-642e2befbc28") + ) + (segment + (start 90.986 140.206) + (end 92.459 140.206) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "8111d3d8-4660-4c6c-a6ae-b1c962283392") + ) + (segment + (start 88.46 130.68) + (end 87.71 131.43) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "8369513e-cd9a-4a2c-987a-9f6d02781971") + ) + (segment + (start 87.96 133.8675) + (end 87.96 131.68) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "8623259f-99ad-4b82-9601-1bf5c9c2d3a9") + ) + (segment + (start 92.459 140.206) + (end 93.235 139.43) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "8dd6d5bd-301d-4f53-899e-e37173f9d8a9") + ) + (segment + (start 100.71 119.18) + (end 100.71 118.521) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "926fc88d-7514-407f-b749-54895a5d5bc9") + ) + (segment + (start 96.21 131.905) + (end 95.542265 131.905) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "9b51c54c-d00c-46ce-9f9b-6c91bd41993b") + ) + (segment + (start 87.96 133.8675) + (end 87.96 133.93) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "9bf63672-3364-412c-b460-f68fc534c082") + ) + (segment + (start 90.21 139.43) + (end 90.986 140.206) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "a01e08a8-f42e-4469-a8dd-c1e9f414bff2") + ) + (segment + (start 100.71 118.521) + (end 100.711 118.52) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "a2d0a873-997f-4785-9aea-111d1d0543fa") + ) + (segment + (start 90.1475 130.68) + (end 88.46 130.68) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "aa54b2f1-1885-4886-abdb-1476b6859b30") + ) + (segment + (start 87.96 131.68) + (end 87.71 131.43) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "af29eabb-2299-479d-83cb-db967399acb9") + ) + (segment + (start 88.96 130.18) + (end 87.71 131.43) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "e03427e6-d8be-467f-ab9a-a4d57bd439dc") + ) + (segment + (start 86.46 128.9925) + (end 86.46 128.279943) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "e9554c55-c633-4f1e-9fba-69b0c932dbe4") + ) + (segment + (start 86.359 126.804) + (end 85.46 125.905) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "ea9c0fdd-abfb-45d6-9aab-d509b9eec3d0") + ) + (segment + (start 85.96 133.8675) + (end 85.5225 133.8675) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "ecfce5be-6e19-4b72-ba27-078eeefd9407") + ) + (segment + (start 84.21 137.69) + (end 85.38 136.52) + (width 0.2) + (layer "F.Cu") + (net 28) + (uuid "ff10bae2-16f2-4316-9839-fcd6d0fd655d") + ) + (via + (at 97.79 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "08ae3ed9-050a-4f93-95f8-0b9241e2ca25") + ) + (via + (at 108.204 130.556) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "110e2114-1481-4e01-91a5-80c54bdb02ac") + ) + (via + (at 104.14 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "15842c14-9ad6-4b0f-ad4a-818d90475f11") + ) + (via + (at 101.68 140.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "1dec9ca3-3371-4db7-9082-f09ba872cb20") + ) + (via + (at 77.216 146.812) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "23d59457-fb32-4bf1-bd0c-a7360fe139e4") + ) + (via + (at 87.63 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "321909fa-1771-4594-81d6-d26dff4fc630") + ) + (via + (at 85.598 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "37ce8f84-ba2f-40dd-b0ed-524a673931a5") + ) + (via + (at 95.31 131.672735) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "3fa6eb07-ac8c-40f5-b3c1-a46eb446ae4a") + ) + (via + (at 84.01 128.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "418ae63e-c5d8-4da4-9f79-424b1448f22b") + ) + (via + (at 93.88 130.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "47011621-efe8-4d8f-bc51-771e9668db8e") + ) + (via + (at 85.46 133.93) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "472bbf4d-80e0-400a-ab20-484cd5edba80") + ) + (via + (at 99.822 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "49396abb-04cb-4ee6-9d4f-c19435457667") + ) + (via + (at 89.662 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "52b160a4-464a-4818-b1e3-9a7031f6ecdf") + ) + (via + (at 108.204 142.748) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "55e8425e-4d1c-435f-ad98-24f6865102c2") + ) + (via + (at 100.711 118.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "57a0f47e-d928-4ed8-9ca9-58a11229e837") + ) + (via + (at 85.38 136.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "57d1d865-4e6d-4ff9-9f61-cc17e6bbff38") + ) + (via + (at 108.204 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "58e4e8b2-c0b4-4466-98b8-95c73dec88f3") + ) + (via + (at 106.172 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "5cbb56e1-2aaa-4f16-8968-7ebb50cee8fd") + ) + (via + (at 81.28 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "5f3e03bf-6442-4133-a470-f6e6161f98a0") + ) + (via + (at 108.204 134.62) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "69311241-b214-491b-bb03-cfd1a83adbc6") + ) + (via + (at 98.88 140.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "6f14cfeb-01dd-4b35-bcad-2980a4be9a95") + ) + (via + (at 77.216 140.716) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "70e83d3f-0956-4201-8d55-5f047f4683f0") + ) + (via + (at 86.38 132.72) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "79ea34d2-8657-476d-884a-56ed484de045") + ) + (via + (at 83.312 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "81771ae2-cd90-44c5-b24e-57a802ed39dd") + ) + (via + (at 83.566 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "87398e75-10cd-43f5-9a38-f5463f40ef33") + ) + (via + (at 77.216 134.62) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "87be85d0-6b37-42f4-8934-007eb79c943c") + ) + (via + (at 90.68 140.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "87f3261e-b328-4c1d-9f51-698f6160d35b") + ) + (via + (at 77.216 128.524) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "8c619064-8b8c-4911-a921-fe18f3a74763") + ) + (via + (at 81.534 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "8cd12b0c-5a22-4b45-8c7f-1fc701845578") + ) + (via + (at 108.204 144.78) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9424afcc-7791-49dc-b1c3-0700a5527972") + ) + (via + (at 84.58 140.62) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "94806b5c-7f8e-410d-8ca9-4f30c18d4277") + ) + (via + (at 77.216 142.748) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "96f51dcd-10e7-432f-a34e-4c5fa4469080") + ) + (via + (at 86.38 130.12) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "97232a15-abef-44d3-9b49-a3bf44d4f401") + ) + (via + (at 85.344 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9b206021-5a38-4315-a718-96aec94ff240") + ) + (via + (at 77.216 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9b4a7d47-f390-4bac-abf0-7d609c988c1d") + ) + (via + (at 91.694 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9ba4e6fa-5827-4278-a01e-ad272822562d") + ) + (via + (at 89.408 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9cdcef66-24cc-4207-8a5c-15930ff1a22c") + ) + (via + (at 108.204 136.652) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9d9adea8-bd42-4bd3-a2b5-e5a7287d9d84") + ) + (via + (at 88.98 132.72) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "9f138d87-8970-4de8-aa0e-822899299ab3") + ) + (via + (at 93.88 140.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "aaf95d03-1761-4639-94c9-378434727d83") + ) + (via + (at 96.48 140.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "b071a4bf-bbab-4a35-b7d3-4dec69c04c35") + ) + (via + (at 77.216 144.78) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "b1599532-b4a6-4088-856f-4d31482ee1d6") + ) + (via + (at 108.204 128.524) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "b5e3062a-651a-4379-b0e6-d0ef4376d9ec") + ) + (via + (at 87.376 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "b694f52c-ab21-47b2-be69-3e433fedc7f9") + ) + (via + (at 79.502 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "bfec8c85-3305-48d8-adbe-c2db62c373eb") + ) + (via + (at 87.48 130.12) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "c0f4f433-d89a-4198-9e4a-0e8ce6c9ae4b") + ) + (via + (at 95.758 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "c555180c-989c-48fd-a3bd-08ca3091603b") + ) + (via + (at 84.08 135.32) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "c72a138d-53c4-4f3b-8318-9389c658e6d6") + ) + (via + (at 77.216 126.492) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d2254c77-efb3-4dd7-83b5-95a4065f725d") + ) + (via + (at 93.472 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d250bb88-a943-432e-82f7-4dc5cc9cfa71") + ) + (via + (at 77.216 138.684) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d2d0b967-3d3b-4b9c-b91a-788a662815a5") + ) + (via + (at 108.204 148.844) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d3828377-8704-45f2-88c4-a42df31ecd82") + ) + (via + (at 108.204 140.716) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d4f59acd-00de-44ca-86c1-1a17fe722616") + ) + (via + (at 101.854 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d73cddac-be44-48f6-867d-fdf3260f5048") + ) + (via + (at 95.504 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d82be4ba-e3a9-4e67-a0d0-4d91ae734b13") + ) + (via + (at 105.918 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d9745c8e-baba-49bf-853d-478ea3688cad") + ) + (via + (at 77.216 132.588) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d9a10f41-1717-4228-8ee6-fbdaba92b410") + ) + (via + (at 86.359 126.804) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "d9fcf30e-79fc-4ada-b8cc-589623ed6433") + ) + (via + (at 89.58 136.52) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "dbeb60d3-22f2-4879-a075-091e509c8f44") + ) + (via + (at 91.44 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "e181f37f-b4c4-4f41-8923-a69935b1574d") + ) + (via + (at 108.204 132.588) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "e5ad1530-0f3a-4b25-8dec-18e443c6b4df") + ) + (via + (at 108.204 146.812) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "e73c104c-4539-4b0a-8d69-405608b124f4") + ) + (via + (at 108.204 138.684) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "e88636bf-21c3-4d15-8f2b-91ab692550ed") + ) + (via + (at 79.248 124.46) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "e9d172d0-d2e5-43cd-b92f-20ff5276d129") + ) + (via + (at 89.03 130.07) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "eb23108f-b446-4e05-92ee-d4d4b857c679") + ) + (via + (at 108.204 126.492) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "ee7fcf7e-4d80-4c33-b605-e52b9a1a45a4") + ) + (via + (at 77.216 130.556) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "ee9b9a5d-fc42-4236-9758-c7df03bad794") + ) + (via + (at 77.216 136.652) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "f6e96c10-e64f-4d7e-a7d2-9c1c402e919e") + ) + (via + (at 93.726 151.384) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "f75fbb65-0278-4474-9bcc-122d2c340762") + ) + (via + (at 77.216 148.844) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 28) + (uuid "fef9732b-8cc2-4eb4-b817-88753dbac003") + ) + (segment + (start 100.71 118.52) + (end 100.71 124.289) + (width 0.2) + (layer "B.Cu") + (net 28) + (uuid "90459d62-ae77-4d40-8e0e-99cf3b19bde7") + ) + (segment + (start 90.1475 132.18) + (end 91.435 132.18) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "0d6b2883-bd91-49d3-b197-35900b4af1c9") + ) + (segment + (start 91.435 132.18) + (end 91.685 131.93) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "12919349-dec4-4012-a233-332ec2c85632") + ) + (segment + (start 90.1475 131.68) + (end 91.435 131.68) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "3ed30673-3976-400d-99ec-9430e3eeefe9") + ) + (segment + (start 91.435 131.68) + (end 91.685 131.93) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "466ce636-e874-4fde-8d6e-2d2483c5bc31") + ) + (segment + (start 91.685 133.43) + (end 91.685 131.93) + (width 0.2) + (layer "F.Cu") + (net 29) + (uuid "82e2bb81-2f69-4245-9f46-7c343e3149b9") + ) + (segment + (start 85.96 128.9925) + (end 85.96 128.347043) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "260bdd56-c353-40b0-b275-a3d22c060763") + ) + (segment + (start 83.96 127.455) + (end 85.46 127.455) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "2ee1632d-fded-4f80-b0b0-8985fa9e8fe4") + ) + (segment + (start 89.46 134.9) + (end 89.48 134.92) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "5b5ac398-06d8-4122-b6e5-84d018fbfa60") + ) + (segment + (start 89.46 134.799) + (end 89.46 134.9) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "6a308eb1-1ba4-4ce1-ad89-60b4742f4293") + ) + (segment + (start 85.958 127.953) + (end 85.46 127.455) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "824c37ba-edc9-4874-8de8-a122abddb75e") + ) + (segment + (start 85.8725 128.905) + (end 85.96 128.9925) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "95810b70-57a1-4992-8c02-c67894777128") + ) + (segment + (start 85.958 128.012843) + (end 85.958 127.953) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "aa8f0113-ad9c-48bf-a23a-c6d46a72f865") + ) + (segment + (start 85.471 128.905) + (end 85.8725 128.905) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "b4fa37ee-0c34-4e28-8d12-83b97e940a3e") + ) + (segment + (start 85.958 128.345043) + (end 85.958 128.012843) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "c24de895-8152-475c-82fe-4b11d86e6116") + ) + (segment + (start 89.46 133.8675) + (end 89.46 134.799) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "d90d4790-df4b-44e1-b8e3-608f9e0f6b25") + ) + (segment + (start 85.96 128.347043) + (end 85.958 128.345043) + (width 0.2) + (layer "F.Cu") + (net 30) + (uuid "dd790468-4ad6-4a4d-bfff-bd75fdcec6c7") + ) + (via + (at 89.48 134.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 30) + (uuid "7ac4e7d1-7484-4c29-bc65-e34ed431db4e") + ) + (via + (at 85.471 128.905) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 30) + (uuid "b6903704-d9a5-49d1-9337-176af843ca40") + ) + (segment + (start 85.48 128.914) + (end 85.471 128.905) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "58f6d86a-f54a-43bb-8ca4-91519db3d210") + ) + (segment + (start 85.48 130.92) + (end 85.48 128.914) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "74931489-cc4f-4429-a771-6f78e3aa6662") + ) + (segment + (start 89.48 134.92) + (end 85.48 130.92) + (width 0.2) + (layer "B.Cu") + (net 30) + (uuid "8cee10ac-0efe-44f0-8ad6-068e5082151d") + ) + (segment + (start 96.21 130.455) + (end 94.7475 128.9925) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "75981067-6c95-490f-b883-4297260ae95a") + ) + (segment + (start 96.235 130.43) + (end 96.21 130.455) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "8f514fc4-f7fd-4568-bcaf-c4f596379e1e") + ) + (segment + (start 94.7475 128.9925) + (end 89.46 128.9925) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "97ed5c2d-c674-4ff9-a3d0-91e300346a5d") + ) + (segment + (start 97.685 130.43) + (end 96.235 130.43) + (width 0.2) + (layer "F.Cu") + (net 31) + (uuid "f962a6e6-5e0c-4084-8e87-5b0e54dde265") + ) + (segment + (start 88.46 128.9925) + (end 88.46 128.539202) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "07aef76b-7d43-44d7-ad43-c8ae4b168823") + ) + (segment + (start 88.46 128.539202) + (end 89.544202 127.455) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "109928c6-f69b-406b-bc2c-00b4c23e9286") + ) + (segment + (start 89.71 127.455) + (end 91.21 127.455) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "2ded9707-4a51-4354-a211-c0bcd4d41895") + ) + (segment + (start 89.544202 127.455) + (end 89.71 127.455) + (width 0.2) + (layer "F.Cu") + (net 32) + (uuid "7d4f9bd0-e7c2-4d30-95e7-8e3303d577a9") + ) + (segment + (start 94.309 132.1211) + (end 94.309 131.7889) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "10e2181a-f192-4cc4-953a-8cebe4930123") + ) + (segment + (start 97.685 134.93) + (end 96.45989 134.93) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "1b248f85-8129-4888-8fe2-02753f1aa672") + ) + (segment + (start 95.434 133.90411) + (end 95.21 133.68011) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "20eda2ef-85df-4f11-a60d-0d1d372120e9") + ) + (segment + (start 94.5439 132.356) + (end 94.309 132.1211) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "2e042200-a84b-4371-abf7-804de8de9bf2") + ) + (segment + (start 95.73589 134.206) + (end 95.434 133.90411) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "394ab8d1-b230-4bd1-879e-1b61f6b91191") + ) + (segment + (start 93.96 131.154) + (end 90.1735 131.154) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "4931fa25-855f-4e2b-880c-3f42646a6da6") + ) + (segment + (start 90.1735 131.154) + (end 90.1475 131.18) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "54334cdb-39bf-44b6-9a96-0b75889a2cbc") + ) + (segment + (start 95.21 133.68011) + (end 95.21 133.0221) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "54f72326-1d21-422c-a5a5-8ba921e54883") + ) + (segment + (start 94.309 131.503) + (end 93.96 131.154) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "66050262-96e8-4cdc-aaf8-3b251068e1dc") + ) + (segment + (start 95.21 133.0221) + (end 94.5439 132.356) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "840c0a1c-a8ff-4dfd-891f-68ea3832854a") + ) + (segment + (start 94.309 131.7889) + (end 94.309 131.503) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "a57f13d4-752c-4c2f-b340-19dbdfb3f5f9") + ) + (segment + (start 97.685 136.43) + (end 97.685 134.93) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "b2c743e1-8394-4780-86ba-7bd621035e36") + ) + (segment + (start 96.45989 134.93) + (end 95.73589 134.206) + (width 0.2) + (layer "F.Cu") + (net 33) + (uuid "b857f953-5cab-4ead-affb-10c15473e0c3") + ) + (segment + (start 90.0225 133.305) + (end 90.0225 134.627557) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "0e71c691-7845-4da1-a681-d0af79c4251b") + ) + (segment + (start 90.1475 133.18) + (end 90.0225 133.305) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "36f78309-ab18-4ecf-b2c3-0d5674f79889") + ) + (segment + (start 91.23589 137.206) + (end 91.685 137.206) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "449aa8af-2f3b-4212-87aa-0737f1191a6d") + ) + (segment + (start 91.685 137.93) + (end 91.685 139.43) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "71bba8eb-7926-4b67-8c29-41565f4218d4") + ) + (segment + (start 90.88 135.485057) + (end 90.88 136.85011) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "72abeacf-dbb0-4f42-8cfb-897c517d626a") + ) + (segment + (start 91.685 137.206) + (end 91.685 137.93) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "841bc05b-f2fa-4ebd-9997-21e23968c976") + ) + (segment + (start 90.88 136.85011) + (end 91.23589 137.206) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "ca8212e1-d509-473f-8c77-7ea353fbf4a9") + ) + (segment + (start 90.0225 134.627557) + (end 90.88 135.485057) + (width 0.2) + (layer "F.Cu") + (net 34) + (uuid "cbf812ff-b94a-4f74-8a00-55899c09e96b") + ) + (segment + (start 94.71 130.43) + (end 93.96 129.68) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "20d22d9a-a305-4b77-b309-cf477306b4ca") + ) + (segment + (start 94.71 131.955) + (end 94.71 130.43) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "232a8ecd-1ce4-4630-a333-8287683b28ae") + ) + (segment + (start 96.235 133.43) + (end 96.21 133.455) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "51587c5e-bc3e-4a45-b6b5-b4094b9fed1d") + ) + (segment + (start 93.96 129.68) + (end 90.1475 129.68) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "b492ace2-309f-41e8-9fe1-4614448cb669") + ) + (segment + (start 96.21 133.455) + (end 94.71 131.955) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "d7975fc4-0ba9-4eb5-a872-d5da16dc9642") + ) + (segment + (start 97.685 133.43) + (end 96.235 133.43) + (width 0.2) + (layer "F.Cu") + (net 35) + (uuid "e3c8b56c-89d9-48f4-a6a0-a918d938ed86") + ) + (segment + (start 100.71 133.3925) + (end 100.71 131.9675) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "068fca5c-7495-4902-be75-a680fbcb7b63") + ) + (segment + (start 102.21 131.955) + (end 100.7225 131.955) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "0d92fd44-74cf-4b56-8f5b-395a33b6f668") + ) + (segment + (start 100.7225 131.955) + (end 100.71 131.9675) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "1ea7dfad-3ec3-497c-903f-6e5c1edcf9fc") + ) + (segment + (start 99.235 131.93) + (end 100.6725 131.93) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "36e6fa0c-eb10-43de-989b-07e0e263101a") + ) + (segment + (start 100.6725 133.43) + (end 100.71 133.3925) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "61cb51de-30be-4e2c-a4ee-993913abb631") + ) + (segment + (start 99.235 133.43) + (end 100.6725 133.43) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "737edb5a-0856-4164-a64b-b5e1e9436f47") + ) + (segment + (start 100.6725 131.93) + (end 100.71 131.9675) + (width 0.2) + (layer "F.Cu") + (net 36) + (uuid "acb545e1-53a2-495a-a16a-84f5986e0e1c") + ) + (segment + (start 100.635 128.93) + (end 99.235 128.93) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "25cfdada-a29a-4a16-8b6b-24ea94c5eeea") + ) + (segment + (start 100.71 129.005) + (end 100.635 128.93) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "43d9081a-70fe-41f8-baf2-9fa09b3f66ff") + ) + (segment + (start 99.235 128.93) + (end 99.235 130.43) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "7ab33844-e45c-45b4-acc0-399dddf5b6d6") + ) + (segment + (start 100.7225 130.405) + (end 100.71 130.3925) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "a2f7f0c9-f033-403b-bfb0-7c55b52bd80f") + ) + (segment + (start 100.71 130.3925) + (end 100.71 129.005) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "bcfc657a-5d49-44dc-b38a-8c750028a8d2") + ) + (segment + (start 102.21 130.405) + (end 100.7225 130.405) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "deba6d18-a4f6-4e49-81d1-80137ac103f0") + ) + (segment + (start 99.235 128.93) + (end 99.235 127.43) + (width 0.2) + (layer "F.Cu") + (net 37) + (uuid "e9c6324d-8732-4a92-b0ff-37abd4397499") + ) + (segment + (start 99.235 134.93) + (end 99.235 136.43) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "94f0b2b3-eedf-4345-94c9-69ee44c6c15e") + ) + (segment + (start 99.2725 134.9675) + (end 99.235 134.93) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "aceccdf9-adb3-4df9-85fb-18e207375955") + ) + (segment + (start 100.71 134.9675) + (end 99.2725 134.9675) + (width 0.2) + (layer "F.Cu") + (net 38) + (uuid "fd8ba23c-7e3b-4f8f-80fe-6784107e3ccb") + ) + (segment + (start 102.21 127.455) + (end 104.29 127.455) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "16469498-3770-4b1a-bc30-570c208ebc48") + ) + (segment + (start 102.285 128.93) + (end 102.285 127.53) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "2820189e-ee1e-49f2-a17d-51f948ee3c43") + ) + (segment + (start 100.71 127.455) + (end 102.21 127.455) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "35a5d445-290a-41ab-91a5-71b9fa4bb5d6") + ) + (segment + (start 102.285 127.53) + (end 102.21 127.455) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "3670af67-071f-4d0d-af9f-11a5ff5d837f") + ) + (segment + (start 104.29 127.455) + (end 104.315 127.43) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "548be461-f418-467f-9d4e-263c9c5f0612") + ) + (segment + (start 102.235 127.43) + (end 102.21 127.455) + (width 0.2) + (layer "F.Cu") + (net 39) + (uuid "79b66a70-ccb5-4136-afce-215435c6cf1b") + ) + (segment + (start 100.71 122.68) + (end 100.71 124.405) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "2ccb4769-25ca-4f25-876d-775b1748c546") + ) + (segment + (start 100.71 124.405) + (end 100.685 124.43) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "2f7c03d9-8e07-4bb3-ad26-a8e6eade7fe4") + ) + (segment + (start 99.285 125.93) + (end 100.685 125.93) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "4462f3e3-0d6a-4613-b8af-9fcd5c73bd1b") + ) + (segment + (start 99.235 124.43) + (end 100.685 124.43) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "5177adac-c208-4b33-89de-a923af260625") + ) + (segment + (start 100.71 121.58) + (end 100.71 122.68) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "61405e2f-1806-400c-9703-7f3deb9c8596") + ) + (segment + (start 100.685 124.43) + (end 100.685 125.88) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "849586e1-9e66-4207-a0ee-efb845efd4e8") + ) + (segment + (start 100.685 125.88) + (end 100.71 125.905) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "923f3cd9-69ef-4381-a0c1-f38fd7b9d55d") + ) + (segment + (start 102.21 125.905) + (end 100.71 125.905) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "e2540a3b-1c1b-423b-aada-688db2402dee") + ) + (segment + (start 100.685 125.93) + (end 100.71 125.905) + (width 0.2) + (layer "F.Cu") + (net 40) + (uuid "f50f86ef-7242-4486-8550-00792d088e4e") + ) + (segment + (start 87.21 138.632) + (end 87.21 137.129) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "02ede9a0-17c7-49dd-aab2-7056bc492ea4") + ) + (segment + (start 87.41 136.929) + (end 87.61 136.929) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "0a6ea325-2ace-4219-aa34-29bd3133e0a6") + ) + (segment + (start 86.11 139.53) + (end 86.312 139.53) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "12594fe6-ecb2-4816-8b7d-cd35f34502c9") + ) + (segment + (start 87.21 137.129) + (end 87.41 136.929) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "34f5ac7d-1dd0-4135-95d3-872a895c312c") + ) + (segment + (start 88.46 136.079) + (end 88.46 133.8675) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "59a97d85-06f7-4859-8541-67b564939c97") + ) + (segment + (start 86.035 139.455) + (end 86.11 139.53) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "6b3a907e-45e0-4ca2-81e7-09cfd89f6d2b") + ) + (segment + (start 84.21 139.455) + (end 86.035 139.455) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "6db1cd37-3dfc-446b-b36e-ab1c391d6417") + ) + (segment + (start 87.61 136.929) + (end 88.46 136.079) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "a0711021-cbdf-4607-97d3-7cafe6a14873") + ) + (segment + (start 84.285 139.53) + (end 84.21 139.455) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "a97fe7d0-bca4-4d06-9111-46a6b9295317") + ) + (segment + (start 86.312 139.53) + (end 87.21 138.632) + (width 0.2) + (layer "F.Cu") + (net 41) + (uuid "d5a49539-a653-40b6-8a66-d8d2ca868cfc") + ) + (segment + (start 88.36 137.88) + (end 88.31 137.83) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "21a3e985-b3ac-4484-a795-75a506b86897") + ) + (segment + (start 90.21 137.88) + (end 88.36 137.88) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "437c7074-0c49-44d8-96ad-7546596029f7") + ) + (segment + (start 90.16 137.83) + (end 90.21 137.88) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "4a117f5d-7797-4c9f-82e7-8f1b412408e2") + ) + (segment + (start 88.861 137.279) + (end 88.861 134.619) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "59c59b6f-9ee2-4d63-abb5-f19130a3b681") + ) + (segment + (start 88.96 134.52) + (end 88.96 133.8675) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "6d04035b-d6f8-4f92-8c67-738cc11e70fc") + ) + (segment + (start 88.31 137.83) + (end 88.861 137.279) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "9b62bf63-b13a-432f-b991-5606ef2a92f4") + ) + (segment + (start 88.861 134.619) + (end 88.96 134.52) + (width 0.2) + (layer "F.Cu") + (net 42) + (uuid "aa63295f-71ce-4091-934b-fd24fa854dd2") + ) + (segment + (start 81.281 131.409) + (end 83.01 129.68) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "06aa98fc-31b2-449a-bf57-51dfe3bc608b") + ) + (segment + (start 87.44 143.32) + (end 83.48 143.32) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "595de934-2f3d-473c-8480-0f35a4bf9b18") + ) + (segment + (start 81.281 141.121) + (end 81.281 131.409) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "69721603-6710-49f6-a68c-0273b2146afd") + ) + (segment + (start 88.9 144.78) + (end 87.44 143.32) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "aeb71391-4995-4ba4-8ad9-d70db0b1ab00") + ) + (segment + (start 83.01 129.68) + (end 85.2725 129.68) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "b98e94ad-6573-4c6f-88ca-13035bab2a19") + ) + (segment + (start 83.48 143.32) + (end 81.281 141.121) + (width 0.2) + (layer "F.Cu") + (net 43) + (uuid "f5ce4f3e-4b9a-46f2-85ae-fa6e016d48d1") + ) + (segment + (start 81.682 140.9549) + (end 81.682 131.5751) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "328a4acd-1bfc-4b44-b4b6-f7c1baf34523") + ) + (segment + (start 83.6461 142.919) + (end 81.682 140.9549) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "46e1d1d1-9eb2-4461-b991-ada86bae55fa") + ) + (segment + (start 90.17 146.05) + (end 90.17 144.24) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "4bf5ad0e-24ea-4a7e-918f-28cf65055b9b") + ) + (segment + (start 88.9 147.32) + (end 90.17 146.05) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "573f4a90-da25-44bd-bbeb-196d94309af9") + ) + (segment + (start 90.17 144.24) + (end 88.849 142.919) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "86b16262-fbb7-4cf5-a023-81065ff1b379") + ) + (segment + (start 81.682 131.5751) + (end 83.0771 130.18) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "9d4ce1ee-1a86-4617-845e-b49f0b900219") + ) + (segment + (start 83.0771 130.18) + (end 85.2725 130.18) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "a182a78a-d67d-4f23-960a-29557a1393ab") + ) + (segment + (start 88.849 142.919) + (end 83.6461 142.919) + (width 0.2) + (layer "F.Cu") + (net 44) + (uuid "f7c05b8f-c09d-4574-a8f5-cb07488160cd") + ) + (segment + (start 87.46 134.73) + (end 87.61 134.88) + (width 0.2) + (layer "F.Cu") + (net 45) + (uuid "4c8e8a62-b46d-404b-98f0-b6b405454cc0") + ) + (segment + (start 87.46 133.8675) + (end 87.46 134.73) + (width 0.2) + (layer "F.Cu") + (net 45) + (uuid "c51988ff-6abe-4ce2-a4b1-3013e8680ca7") + ) + (via + (at 87.61 134.88) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 45) + (uuid "04c7338d-1af5-46e1-8027-b3e69a4d447d") + ) + (segment + (start 89.88 137.72) + (end 87.61 135.45) + (width 0.2) + (layer "B.Cu") + (net 45) + (uuid "26296950-fd7e-4843-8fc1-99f7c4ff765c") + ) + (segment + (start 87.61 135.45) + (end 87.61 134.88) + (width 0.2) + (layer "B.Cu") + (net 45) + (uuid "39ff0853-c2e5-49f4-9591-1c2276c0b5ae") + ) + (segment + (start 92 137.72) + (end 89.88 137.72) + (width 0.2) + (layer "B.Cu") + (net 45) + (uuid "72ed6c48-3d71-41b3-a030-7b5f8e835f7e") + ) + (segment + (start 99.06 144.78) + (end 92 137.72) + (width 0.2) + (layer "B.Cu") + (net 45) + (uuid "e32e89a5-f0f0-4cd9-8000-4ca810614978") + ) + (segment + (start 83.286 137.494798) + (end 83.286 140.2905) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "0dbb12f8-9d81-4f2d-a03d-fd1885620a36") + ) + (segment + (start 86.46 134.320798) + (end 83.286 137.494798) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "0e7e28c5-4d19-47fc-a81f-b1afb961a108") + ) + (segment + (start 89.6763 141.315) + (end 91.1883 142.827) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "271be664-6b6c-4af2-a343-1d11cfbd6d97") + ) + (segment + (start 84.3105 141.315) + (end 89.6763 141.315) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "4dea821e-7ce6-46cc-86b8-2bb5e55a8be2") + ) + (segment + (start 91.1883 142.827) + (end 94.567 142.827) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "6d57f654-bec2-4798-a459-acd041c235d3") + ) + (segment + (start 94.567 142.827) + (end 96.52 144.78) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "739d9c16-e6ac-4c9b-9e0e-7b7164ee413f") + ) + (segment + (start 83.286 140.2905) + (end 84.3105 141.315) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "95adef58-a9e7-4c22-b482-c2a7119c4680") + ) + (segment + (start 86.46 133.8675) + (end 86.46 134.320798) + (width 0.2) + (layer "F.Cu") + (net 46) + (uuid "d481f186-c957-416b-84ea-ce985589c33c") + ) + (segment + (start 84.01 131.68) + (end 83.81 131.88) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "9a0a55d8-b348-4795-95bd-60eb5dc652b8") + ) + (segment + (start 85.2725 131.68) + (end 84.01 131.68) + (width 0.2) + (layer "F.Cu") + (net 47) + (uuid "ee0e26f9-4800-4983-b98b-823d070ff7c0") + ) + (via + (at 83.81 131.88) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 47) + (uuid "5b2e9e78-d15b-4056-a22a-1659a788ebf8") + ) + (segment + (start 85.081 135.8861) + (end 85.08 135.8871) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "00838f70-ec41-4fb4-8ecb-614eca6e01ce") + ) + (segment + (start 84.411 132.43106) + (end 84.411 132.663963) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "10083729-c0f7-4902-b850-e6edcd794389") + ) + (segment + (start 84.811 133.063963) + (end 84.811 134.251) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "25b1e825-14f6-41db-b4f5-532d554c804c") + ) + (segment + (start 92.7 143.5) + (end 93.98 144.78) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "4f70fe35-b7b0-49c5-99bb-b09d4cf69878") + ) + (segment + (start 85.081 134.521) + (end 85.081 135.8861) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "5c77f9fd-3c86-440c-ba3e-2f93608f55d2") + ) + (segment + (start 84.058943 132.079003) + (end 84.411 132.43106) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "6c826709-3fc2-41df-a769-fc2b47c83e29") + ) + (segment + (start 84.811 134.251) + (end 85.081 134.521) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "70802491-2fc4-488a-a3ca-bde2a1a0da16") + ) + (segment + (start 84.68 136.370057) + (end 84.68 138.82) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "7f80c705-8144-4617-a5a0-15b44643ab2d") + ) + (segment + (start 85.08 135.8871) + (end 85.08 135.970057) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "ae602654-e303-468f-8ca0-c5d728a3404e") + ) + (segment + (start 85.08 135.970057) + (end 84.68 136.370057) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "b8ef1ca4-edc9-4acb-a169-7454abaaf0a5") + ) + (segment + (start 89.36 143.5) + (end 92.7 143.5) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "bed580f9-6553-4741-978d-cebd075114dd") + ) + (segment + (start 83.81 131.88) + (end 83.81 132.079003) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "c682a101-0e24-4540-9d5d-41953f803e27") + ) + (segment + (start 84.68 138.82) + (end 89.36 143.5) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "ccbb23fe-18d9-411d-9a63-e0c7145eab09") + ) + (segment + (start 83.81 132.079003) + (end 84.058943 132.079003) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "d80a39e1-ba44-45df-aa05-7711547b3dbc") + ) + (segment + (start 84.411 132.663963) + (end 84.811 133.063963) + (width 0.2) + (layer "B.Cu") + (net 47) + (uuid "f5119974-4305-4872-90b5-0b71e2dbf6f4") + ) + (segment + (start 87.96 128.9925) + (end 87.96 128.18) + (width 0.2) + (layer "F.Cu") + (net 48) + (uuid "14fdfff0-c49f-481e-906f-399bf03c2895") + ) + (segment + (start 97.58 142.32) + (end 97.79 142.53) + (width 0.2) + (layer "F.Cu") + (net 48) + (uuid "aefcb0a1-5801-4a1e-bbf8-867857500290") + ) + (segment + (start 97.58 140.92) + (end 97.58 142.32) + (width 0.2) + (layer "F.Cu") + (net 48) + (uuid "b219e6b2-b19a-4d97-b784-51b4c6ca26cc") + ) + (segment + (start 97.79 142.53) + (end 97.79 146.05) + (width 0.2) + (layer "F.Cu") + (net 48) + (uuid "c51213e0-c3e3-4ee6-b79f-bd3e2236b69f") + ) + (segment + (start 97.79 146.05) + (end 96.52 147.32) + (width 0.2) + (layer "F.Cu") + (net 48) + (uuid "d037d303-91f1-4c94-a6d3-f16a74f2b963") + ) + (segment + (start 87.96 128.18) + (end 88.21 127.93) + (width 0.2) + (layer "F.Cu") + (net 48) + (uuid "db7ee563-0c30-4dd5-be0d-2295b2a9f080") + ) + (via + (at 97.58 140.92) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 48) + (uuid "9d026863-87e5-4a5c-8f6c-35fedfa5ab7d") + ) + (via + (at 88.21 127.93) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 48) + (uuid "a3d15524-be33-4591-99ee-e814e466ebea") + ) + (segment + (start 97.58 140.92) + (end 97.58 140.12) + (width 0.2) + (layer "B.Cu") + (net 48) + (uuid "6535022f-07d1-4b1a-9a1b-d4625cf08c80") + ) + (segment + (start 88.21 130.75) + (end 88.21 127.93) + (width 0.2) + (layer "B.Cu") + (net 48) + (uuid "8536035c-c292-4122-8a2a-1738432e0bed") + ) + (segment + (start 97.58 140.12) + (end 88.21 130.75) + (width 0.2) + (layer "B.Cu") + (net 48) + (uuid "872be075-9ae0-4577-a6ac-dd39853576f4") + ) + (segment + (start 91.0222 143.228) + (end 94.05576 143.228) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "2bef9dff-3c27-4364-b643-137df7e3596e") + ) + (segment + (start 82.885 140.4566) + (end 84.1444 141.716) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "32fac41a-633a-4644-b416-6d320b59015f") + ) + (segment + (start 95.25 144.42224) + (end 95.25 146.05) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "442981d8-0e80-4fa3-91b2-7ebf7931c074") + ) + (segment + (start 82.885 135.315) + (end 82.885 140.4566) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "81b555f9-8b46-4a0b-8e53-34f5145518f5") + ) + (segment + (start 89.5102 141.716) + (end 91.0222 143.228) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "99ea5bb2-a9be-45ad-a190-30cc33114981") + ) + (segment + (start 85.02 133.18) + (end 82.885 135.315) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "c17ea7bc-6ae0-4122-8234-2e9b4f2a49f2") + ) + (segment + (start 85.2725 133.18) + (end 85.02 133.18) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "d2a9cf8d-c6fa-4b67-b2e0-65c5531daef7") + ) + (segment + (start 84.1444 141.716) + (end 89.5102 141.716) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "d831a44e-3fe0-4c6f-9f10-83ce97ed5cf8") + ) + (segment + (start 95.25 146.05) + (end 93.98 147.32) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "df9baa2a-07c2-40d4-b455-15c47aabc38b") + ) + (segment + (start 94.05576 143.228) + (end 95.25 144.42224) + (width 0.2) + (layer "F.Cu") + (net 49) + (uuid "e81bd979-43de-409c-906f-c4bda8812d82") + ) + (segment + (start 89.3441 142.117) + (end 89.579 142.3519) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "0bb055cf-852b-4bc9-9aed-fa1ddeed4c9a") + ) + (segment + (start 90.8561 143.629) + (end 90.96324 143.629) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "138a5fec-83da-40ed-89e0-ec02e023cc80") + ) + (segment + (start 89.0119 142.117) + (end 89.3441 142.117) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "2894aace-883b-4f72-8905-1747e27d778c") + ) + (segment + (start 91.91676 143.629) + (end 92.591 144.30324) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "2e08d077-d3d5-4f6d-bae8-cee490fea207") + ) + (segment + (start 82.484 140.6227) + (end 83.9783 142.117) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "310b911c-1ce9-4cd8-aeb0-8d408d322552") + ) + (segment + (start 83.51 131.18) + (end 82.484 132.206) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "345407b2-06df-412a-a1e9-c55b43d516b9") + ) + (segment + (start 92.71 144.42224) + (end 92.71 146.05) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "40334ec7-653f-487f-926e-ee36a53e49b4") + ) + (segment + (start 92.71 146.05) + (end 91.44 147.32) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "413c94f8-6dcd-4e5d-aa19-df57351777eb") + ) + (segment + (start 90.96324 143.629) + (end 91.91676 143.629) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "93a6a7d6-e404-418b-a940-f8b65e1ba1bd") + ) + (segment + (start 82.484 132.206) + (end 82.484 140.6227) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "9fdb47d8-f414-4a42-b322-b1115c63fecc") + ) + (segment + (start 85.2725 131.18) + (end 83.51 131.18) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "c80c0cf7-fc5b-4b87-bca2-a858a1f25724") + ) + (segment + (start 89.9471 142.72) + (end 90.8561 143.629) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "d8d973d7-6dbd-4fca-9422-7cfa4af585b9") + ) + (segment + (start 92.591 144.30324) + (end 92.71 144.42224) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "db512cf2-492b-447e-976a-e37a4bde4262") + ) + (segment + (start 83.9783 142.117) + (end 89.0119 142.117) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "f20a004b-9b41-432a-b5a1-6b1b24db9ce5") + ) + (segment + (start 89.579 142.3519) + (end 89.9471 142.72) + (width 0.2) + (layer "F.Cu") + (net 50) + (uuid "f3c425b2-713e-43e7-9866-2d34031cbe62") + ) + (segment + (start 86.96 128.9925) + (end 86.96 126.17989) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "14904baa-3cf0-44d2-acf0-825ed7accf08") + ) + (segment + (start 80.88 131.2429) + (end 80.88 144.38) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "1520f85e-4c56-473b-b91c-b3e87f02a601") + ) + (segment + (start 83.08 129.0429) + (end 80.88 131.2429) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "3d1007f1-bbaf-41f8-a231-facb580768bd") + ) + (segment + (start 83.08 125.55989) + (end 83.08 129.0429) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "3d323198-e16a-4fd7-a791-a4f250c336c5") + ) + (segment + (start 83.48589 125.154) + (end 83.08 125.55989) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "483a3e32-b114-46f2-bd85-089274fbb00f") + ) + (segment + (start 86.96 126.17989) + (end 85.93411 125.154) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "ddebf5d7-7e8a-4e10-bf9e-6a1fec1bc8ee") + ) + (segment + (start 85.93411 125.154) + (end 83.48589 125.154) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "f10c4dca-0b2c-4fb3-adfd-e4a5d6d3f388") + ) + (segment + (start 80.88 144.38) + (end 81.28 144.78) + (width 0.2) + (layer "F.Cu") + (net 51) + (uuid "f205d5d9-849f-44c5-96bd-7e3137ff3b24") + ) + (segment + (start 82.083 131.7412) + (end 82.083 140.7888) + (width 0.2) + (layer "F.Cu") + (net 52) + (uuid "30d7f8ea-932e-4c28-b4b2-725b988654a1") + ) + (segment + (start 83.8122 142.518) + (end 89.178 142.518) + (width 0.2) + (layer "F.Cu") + (net 52) + (uuid "5bbef307-cb56-46be-9101-34faf690e4ff") + ) + (segment + (start 83.1442 130.68) + (end 82.083 131.7412) + (width 0.2) + (layer "F.Cu") + (net 52) + (uuid "89dfcc7f-e21f-4e88-8774-1e57bfe40128") + ) + (segment + (start 85.2725 130.68) + (end 83.1442 130.68) + (width 0.2) + (layer "F.Cu") + (net 52) + (uuid "c7117918-c930-47c3-8eed-e321efcb1d0d") + ) + (segment + (start 82.083 140.7888) + (end 83.8122 142.518) + (width 0.2) + (layer "F.Cu") + (net 52) + (uuid "ca1fa68b-c937-4070-81b5-f2d51a08d21b") + ) + (segment + (start 89.178 142.518) + (end 91.44 144.78) + (width 0.2) + (layer "F.Cu") + (net 52) + (uuid "dfa2bc41-5847-4e38-a10a-320cc0eb4499") + ) + (segment + (start 85.2725 132.68) + (end 84.7771 132.68) + (width 0.2) + (layer "F.Cu") + (net 53) + (uuid "2a729811-2318-4a89-bef7-0885c5bd5084") + ) + (segment + (start 84.7771 132.68) + (end 83.977094 133.480006) + (width 0.2) + (layer "F.Cu") + (net 53) + (uuid "375db591-2751-4488-8963-d87efdf1309b") + ) + (segment + (start 83.977094 133.480006) + (end 83.81 133.480006) + (width 0.2) + (layer "F.Cu") + (net 53) + (uuid "dadd6101-90c9-4469-8d9b-b8344bc0b09d") + ) + (via + (at 83.81 133.480006) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 53) + (uuid "8a7c23de-db68-43ec-bfa6-0735d6d5aa4d") + ) + (segment + (start 83.81 133.480006) + (end 82.55 134.740006) + (width 0.2) + (layer "B.Cu") + (net 53) + (uuid "18349086-8d68-4908-8402-fda2e187dd37") + ) + (segment + (start 82.55 146.05) + (end 81.28 147.32) + (width 0.2) + (layer "B.Cu") + (net 53) + (uuid "3e7ffa18-410c-479f-b357-e8b1f994183d") + ) + (segment + (start 82.55 134.740006) + (end 82.55 146.05) + (width 0.2) + (layer "B.Cu") + (net 53) + (uuid "a347ed76-de64-4b42-8235-b3fb010ae60a") + ) + (segment + (start 84.71 132.18) + (end 84.209997 132.680003) + (width 0.2) + (layer "F.Cu") + (net 54) + (uuid "2f14a693-a8e2-4761-ae19-731bd73f4643") + ) + (segment + (start 85.2725 132.18) + (end 84.71 132.18) + (width 0.2) + (layer "F.Cu") + (net 54) + (uuid "97f09df3-00e1-46a6-aa19-b8d0069286a7") + ) + (segment + (start 84.209997 132.680003) + (end 83.81 132.680003) + (width 0.2) + (layer "F.Cu") + (net 54) + (uuid "d2cc0683-0732-4da7-9dfa-41e75caadda2") + ) + (via + (at 83.81 132.680003) + (size 0.6) + (drill 0.3) + (layers "F.Cu" "B.Cu") + (tenting + (front none) + (back none) + ) + (capping none) + (covering + (front none) + (back none) + ) + (plugging + (front none) + (back none) + ) + (filling none) + (net 54) + (uuid "3b995b8c-2360-4720-8aac-9a5325cd1607") + ) + (segment + (start 84.68 135.72) + (end 84.68 134.6871) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "1a813c24-5619-49b5-90ed-48c894534d5b") + ) + (segment + (start 83.82 136.58) + (end 84.68 135.72) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "24822baf-a5da-4e16-959e-8825b6d1d45a") + ) + (segment + (start 84.41 134.4171) + (end 84.41 133.230063) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "25546768-b2cf-4f49-81a5-3d9ed2f5baef") + ) + (segment + (start 83.82 144.78) + (end 83.82 136.58) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "27baa24c-3a13-4ddf-a7cc-4471dbbb8c61") + ) + (segment + (start 83.85994 132.680003) + (end 83.81 132.680003) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "50b6505e-fe83-4817-b6c2-b08a83cbe9c5") + ) + (segment + (start 84.41 133.230063) + (end 83.85994 132.680003) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "5d3e950d-dfa9-4a88-b384-6331b11f9701") + ) + (segment + (start 84.68 134.6871) + (end 84.41 134.4171) + (width 0.2) + (layer "B.Cu") + (net 54) + (uuid "f2b6c225-7603-4f3f-8e49-4cb784060d07") + ) + (segment + (start 86.96 133.8675) + (end 86.96 135.68) + (width 0.2) + (layer "F.Cu") + (net 55) + (uuid "95e0e136-5c09-48bc-bdd2-eae9e7f82dc1") + ) + (segment + (start 87.46 128.9925) + (end 87.460001 127.179999) + (width 0.2) + (layer "F.Cu") + (net 56) + (uuid "082043d1-4a5e-477c-b367-50e8b743ccf6") + ) + (segment + (start 87.460001 127.179999) + (end 87.96 126.68) + (width 0.2) + (layer "F.Cu") + (net 56) + (uuid "32bc7e89-3266-4b3e-9aac-29670c38111f") + ) + (zone + (net 28) + (net_name "GND") + (layers "F.Cu" "B.Cu") + (uuid "8349a439-2835-46c6-8e44-bef5e7c43a80") + (hatch edge 0.5) + (connect_pads + (clearance 0.2) + ) + (min_thickness 0.2) + (filled_areas_thickness no) + (fill yes + (thermal_gap 0.5) + (thermal_bridge_width 0.5) + ) + (polygon + (pts + (xy 78.74 152.4) (xy 76.2 149.86) (xy 76.2 123.952) (xy 109.22 123.952) (xy 109.22 149.86) (xy 106.68 152.4) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 96.701919 123.970907) (xy 96.737883 124.020407) (xy 96.742215 124.061063) (xy 96.735 124.131677) + (xy 96.735 124.179999) (xy 96.735001 124.18) (xy 97.586 124.18) (xy 97.644191 124.198907) (xy 97.680155 124.248407) + (xy 97.685 124.279) (xy 97.685 124.429999) (xy 97.685001 124.43) (xy 97.836 124.43) (xy 97.894191 124.448907) + (xy 97.930155 124.498407) (xy 97.935 124.529) (xy 97.935 125.418993) (xy 97.916093 125.477184) (xy 97.906004 125.488997) + (xy 97.885 125.510001) (xy 97.885 126.349999) (xy 97.906004 126.371003) (xy 97.933781 126.42552) + (xy 97.935 126.441007) (xy 97.935 128.831) (xy 97.916093 128.889191) (xy 97.866593 128.925155) (xy 97.836 128.93) + (xy 97.685001 128.93) (xy 97.685 128.930001) (xy 97.685 129.081) (xy 97.666093 129.139191) (xy 97.616593 129.175155) + (xy 97.586 129.18) (xy 96.696007 129.18) (xy 96.637816 129.161093) (xy 96.630682 129.155) (xy 95.375979 129.155) + (xy 95.317788 129.136093) (xy 95.305976 129.126004) (xy 94.93201 128.752039) (xy 94.915315 128.7424) + (xy 94.867688 128.714903) (xy 94.863489 128.712479) (xy 94.863488 128.712478) (xy 94.863487 128.712478) + (xy 94.863485 128.712477) (xy 94.787064 128.692) (xy 94.787062 128.692) (xy 89.884499 128.692) (xy 89.826308 128.673093) + (xy 89.796217 128.631676) (xy 95.235 128.631676) (xy 95.235 128.654999) (xy 95.235001 128.655) (xy 95.959999 128.655) + (xy 95.96 128.654999) (xy 95.96 127.955001) (xy 96.46 127.955001) (xy 96.46 128.654999) (xy 96.460001 128.655) + (xy 97.223993 128.655) (xy 97.282184 128.673907) (xy 97.289318 128.68) (xy 97.434999 128.68) (xy 97.435 128.679999) + (xy 97.435 127.680001) (xy 97.434999 127.68) (xy 96.735002 127.68) (xy 96.735001 127.680001) (xy 96.735001 127.728322) + (xy 96.745142 127.827597) (xy 96.745144 127.827607) (xy 96.751301 127.846187) (xy 96.751655 127.907372) + (xy 96.715979 127.957079) (xy 96.657899 127.976323) (xy 96.626187 127.971301) (xy 96.607601 127.965143) + (xy 96.607603 127.965143) (xy 96.508323 127.955) (xy 96.460001 127.955) (xy 96.46 127.955001) (xy 95.96 127.955001) + (xy 95.96 127.954999) (xy 95.911679 127.955) (xy 95.911676 127.955001) (xy 95.812402 127.965142) + (xy 95.81239 127.965145) (xy 95.651512 128.018454) (xy 95.50727 128.107425) (xy 95.387425 128.22727) + (xy 95.298454 128.371512) (xy 95.245143 128.532396) (xy 95.235 128.631676) (xy 89.796217 128.631676) + (xy 89.790344 128.623593) (xy 89.786042 128.59643) (xy 89.785975 128.596437) (xy 89.785862 128.595296) + (xy 89.785499 128.593) (xy 89.785499 128.591602) (xy 89.785498 128.591595) (xy 89.77024 128.514883) + (xy 89.770239 128.514881) (xy 89.723774 128.445342) (xy 89.712112 128.427888) (xy 89.625117 128.36976) + (xy 89.625115 128.369759) (xy 89.625114 128.369759) (xy 89.562885 128.35738) (xy 89.558783 128.355082) + (xy 89.554084 128.355206) (xy 89.532427 128.340322) (xy 89.509502 128.327483) (xy 89.503659 128.320551) + (xy 89.460855 128.264768) (xy 89.440431 128.207092) (xy 89.457808 128.148426) (xy 89.50635 128.111179) + (xy 89.539397 128.1055) (xy 89.99349 128.1055) (xy 90.014885 128.102111) (xy 90.093126 128.089719) + (xy 90.21322 128.028528) (xy 90.308528 127.93322) (xy 90.369719 127.813126) (xy 90.369719 127.813124) + (xy 90.371538 127.809555) (xy 90.387929 127.793163) (xy 90.401557 127.774407) (xy 90.409154 127.771938) + (xy 90.414803 127.76629) (xy 90.459748 127.7555) (xy 90.460252 127.7555) (xy 90.518443 127.774407) + (xy 90.548462 127.809555) (xy 90.55028 127.813124) (xy 90.550281 127.813126) (xy 90.611472 127.93322) + (xy 90.70678 128.028528) (xy 90.706782 128.028529) (xy 90.826867 128.089716) (xy 90.826869 128.089716) + (xy 90.826874 128.089719) (xy 90.902541 128.101703) (xy 90.92651 128.1055) (xy 90.926512 128.1055) + (xy 91.49349 128.1055) (xy 91.514885 128.102111) (xy 91.593126 128.089719) (xy 91.71322 128.028528) + (xy 91.808528 127.93322) (xy 91.869719 127.813126) (xy 91.8855 127.713488) (xy 91.8855 127.196512) + (xy 91.875231 127.131676) (xy 96.735 127.131676) (xy 96.735 127.179999) (xy 96.735001 127.18) (xy 97.434999 127.18) + (xy 97.435 127.179999) (xy 97.435 127.010001) (xy 97.413996 126.988997) (xy 97.386219 126.93448) + (xy 97.385 126.918993) (xy 97.385 126.180001) (xy 97.384999 126.18) (xy 96.735002 126.18) (xy 96.735001 126.180001) + (xy 96.735001 126.261582) (xy 96.741408 126.332103) (xy 96.74141 126.332109) (xy 96.791979 126.494392) + (xy 96.791984 126.494404) (xy 96.879044 126.638418) (xy 96.892968 126.697998) (xy 96.878582 126.741607) + (xy 96.798454 126.871512) (xy 96.745143 127.032396) (xy 96.735 127.131676) (xy 91.875231 127.131676) + (xy 91.869719 127.096874) (xy 91.869716 127.096869) (xy 91.869716 127.096867) (xy 91.808529 126.976782) + (xy 91.808528 126.97678) (xy 91.767215 126.935467) (xy 91.73944 126.880953) (xy 91.749011 126.820521) + (xy 91.785248 126.781205) (xy 91.912732 126.702571) (xy 92.032574 126.582729) (xy 92.121545 126.438487) + (xy 92.174856 126.277603) (xy 92.185 126.178323) (xy 92.185 126.155001) (xy 92.184999 126.155) (xy 88.735002 126.155) + (xy 88.735001 126.155001) (xy 88.735001 126.178322) (xy 88.742843 126.255088) (xy 88.729948 126.314899) + (xy 88.684359 126.355707) (xy 88.62349 126.361925) (xy 88.570592 126.331178) (xy 88.562041 126.320151) + (xy 88.53108 126.273816) (xy 88.504114 126.233458) (xy 88.406542 126.135886) (xy 88.406541 126.135885) + (xy 88.291817 126.059228) (xy 88.291806 126.059222) (xy 88.164328 126.00642) (xy 88.028995 125.9795) + (xy 88.028993 125.9795) (xy 87.891007 125.9795) (xy 87.891004 125.9795) (xy 87.755672 126.00642) + (xy 87.75567 126.00642) (xy 87.628193 126.059222) (xy 87.628182 126.059228) (xy 87.513458 126.135885) + (xy 87.513454 126.135889) (xy 87.429504 126.21984) (xy 87.374987 126.247617) (xy 87.314555 126.238046) + (xy 87.27129 126.194781) (xy 87.261882 126.16632) (xy 87.2605 126.158135) (xy 87.2605 126.140328) + (xy 87.253582 126.114511) (xy 87.240022 126.063902) (xy 87.20046 125.995379) (xy 86.836757 125.631676) + (xy 88.735 125.631676) (xy 88.735 125.654999) (xy 88.735001 125.655) (xy 89.459999 125.655) (xy 89.46 125.654999) + (xy 89.46 124.955001) (xy 89.96 124.955001) (xy 89.96 125.654999) (xy 89.960001 125.655) (xy 90.959999 125.655) + (xy 90.96 125.654999) (xy 90.96 124.955001) (xy 91.46 124.955001) (xy 91.46 125.654999) (xy 91.460001 125.655) + (xy 92.184998 125.655) (xy 92.184999 125.654999) (xy 92.184999 125.631685) (xy 92.1824 125.606242) + (xy 92.1824 125.606239) (xy 92.181601 125.598418) (xy 96.735 125.598418) (xy 96.735 125.679999) + (xy 96.735001 125.68) (xy 97.384999 125.68) (xy 97.385 125.679999) (xy 97.385 124.941007) (xy 97.403907 124.882816) + (xy 97.413996 124.871003) (xy 97.435 124.849999) (xy 97.435 124.680001) (xy 97.434999 124.68) (xy 96.735002 124.68) + (xy 96.735001 124.680001) (xy 96.735001 124.728322) (xy 96.745142 124.827597) (xy 96.745145 124.827609) + (xy 96.798454 124.988487) (xy 96.878582 125.118392) (xy 96.893039 125.177845) (xy 96.879045 125.221581) + (xy 96.791981 125.365602) (xy 96.791979 125.365607) (xy 96.74141 125.527889) (xy 96.741408 125.527895) + (xy 96.735 125.598418) (xy 92.181601 125.598418) (xy 92.174856 125.532401) (xy 92.174854 125.53239) + (xy 92.121545 125.371512) (xy 92.032574 125.22727) (xy 91.912729 125.107425) (xy 91.768487 125.018454) + (xy 91.607603 124.965143) (xy 91.508323 124.955) (xy 91.460001 124.955) (xy 91.46 124.955001) (xy 90.96 124.955001) + (xy 90.96 124.954999) (xy 90.911679 124.955) (xy 90.911676 124.955001) (xy 90.812402 124.965142) + (xy 90.81239 124.965145) (xy 90.651512 125.018454) (xy 90.511973 125.104525) (xy 90.45252 125.118982) + (xy 90.408027 125.104525) (xy 90.268487 125.018454) (xy 90.107603 124.965143) (xy 90.008323 124.955) + (xy 89.960001 124.955) (xy 89.96 124.955001) (xy 89.46 124.955001) (xy 89.46 124.954999) (xy 89.411679 124.955) + (xy 89.411676 124.955001) (xy 89.312402 124.965142) (xy 89.31239 124.965145) (xy 89.151512 125.018454) + (xy 89.00727 125.107425) (xy 88.887425 125.22727) (xy 88.798454 125.371512) (xy 88.745143 125.532396) + (xy 88.735 125.631676) (xy 86.836757 125.631676) (xy 86.118621 124.91354) (xy 86.118618 124.913538) + (xy 86.050102 124.87398) (xy 86.050098 124.873978) (xy 85.973674 124.8535) (xy 85.973672 124.8535) + (xy 83.446328 124.8535) (xy 83.446325 124.8535) (xy 83.369901 124.873978) (xy 83.369897 124.87398) + (xy 83.301379 124.913539) (xy 82.839539 125.375379) (xy 82.79998 125.443897) (xy 82.799978 125.443901) + (xy 82.779501 125.520322) (xy 82.7795 125.520327) (xy 82.7795 128.877421) (xy 82.760593 128.935612) + (xy 82.750504 128.947425) (xy 80.695489 131.00244) (xy 80.695488 131.002439) (xy 80.639539 131.058389) + (xy 80.59998 131.126907) (xy 80.599978 131.126911) (xy 80.5795 131.203335) (xy 80.5795 143.95386) + (xy 80.560593 144.012051) (xy 80.550504 144.023863) (xy 80.464022 144.110345) (xy 80.349058 144.282402) + (xy 80.269869 144.473581) (xy 80.2295 144.676532) (xy 80.2295 144.883467) (xy 80.269869 145.086418) + (xy 80.349058 145.277597) (xy 80.46402 145.449651) (xy 80.464023 145.449655) (xy 80.610345 145.595977) + (xy 80.782402 145.710941) (xy 80.97358 145.79013) (xy 81.176535 145.8305) (xy 81.176536 145.8305) + (xy 81.383464 145.8305) (xy 81.383465 145.8305) (xy 81.58642 145.79013) (xy 81.777598 145.710941) + (xy 81.949655 145.595977) (xy 82.095977 145.449655) (xy 82.210941 145.277598) (xy 82.29013 145.08642) + (xy 82.3305 144.883465) (xy 82.3305 144.676535) (xy 82.29013 144.47358) (xy 82.210941 144.282402) + (xy 82.095977 144.110345) (xy 81.949655 143.964023) (xy 81.934445 143.95386) (xy 81.777597 143.849058) + (xy 81.586418 143.769869) (xy 81.383467 143.7295) (xy 81.383465 143.7295) (xy 81.2795 143.7295) + (xy 81.221309 143.710593) (xy 81.185345 143.661093) (xy 81.1805 143.6305) (xy 81.1805 141.684478) + (xy 81.199407 141.626287) (xy 81.248907 141.590323) (xy 81.310093 141.590323) (xy 81.349501 141.614472) + (xy 83.295489 143.56046) (xy 83.295491 143.560461) (xy 83.295493 143.560463) (xy 83.364008 143.60002) + (xy 83.364009 143.60002) (xy 83.364012 143.600022) (xy 83.422109 143.615588) (xy 83.473423 143.648912) + (xy 83.49535 143.706033) (xy 83.479515 143.765134) (xy 83.434372 143.802679) (xy 83.322402 143.849058) + (xy 83.150348 143.96402) (xy 83.00402 144.110348) (xy 82.889058 144.282402) (xy 82.809869 144.473581) + (xy 82.7695 144.676532) (xy 82.7695 144.883467) (xy 82.809869 145.086418) (xy 82.889058 145.277597) + (xy 83.00402 145.449651) (xy 83.004023 145.449655) (xy 83.150345 145.595977) (xy 83.322402 145.710941) + (xy 83.51358 145.79013) (xy 83.716535 145.8305) (xy 83.716536 145.8305) (xy 83.923464 145.8305) + (xy 83.923465 145.8305) (xy 84.12642 145.79013) (xy 84.317598 145.710941) (xy 84.489655 145.595977) + (xy 84.635977 145.449655) (xy 84.750941 145.277598) (xy 84.83013 145.08642) (xy 84.8705 144.883465) + (xy 84.8705 144.676535) (xy 84.83013 144.47358) (xy 84.750941 144.282402) (xy 84.635977 144.110345) + (xy 84.489655 143.964023) (xy 84.474445 143.95386) (xy 84.317597 143.849058) (xy 84.225629 143.810964) + (xy 84.179104 143.771227) (xy 84.16482 143.711732) (xy 84.188235 143.655205) (xy 84.240404 143.623235) + (xy 84.263515 143.6205) (xy 85.916485 143.6205) (xy 85.974676 143.639407) (xy 86.01064 143.688907) + (xy 86.01064 143.750093) (xy 85.974676 143.799593) (xy 85.954371 143.810964) (xy 85.862402 143.849058) + (xy 85.690348 143.96402) (xy 85.54402 144.110348) (xy 85.429058 144.282402) (xy 85.349869 144.473581) + (xy 85.3095 144.676532) (xy 85.3095 144.883467) (xy 85.349869 145.086418) (xy 85.429058 145.277597) + (xy 85.54402 145.449651) (xy 85.544023 145.449655) (xy 85.690345 145.595977) (xy 85.862402 145.710941) + (xy 86.05358 145.79013) (xy 86.256535 145.8305) (xy 86.256536 145.8305) (xy 86.463464 145.8305) + (xy 86.463465 145.8305) (xy 86.66642 145.79013) (xy 86.857598 145.710941) (xy 87.029655 145.595977) + (xy 87.175977 145.449655) (xy 87.290941 145.277598) (xy 87.37013 145.08642) (xy 87.4105 144.883465) + (xy 87.4105 144.676535) (xy 87.37013 144.47358) (xy 87.290941 144.282402) (xy 87.175977 144.110345) + (xy 87.029655 143.964023) (xy 87.014445 143.95386) (xy 86.857597 143.849058) (xy 86.765629 143.810964) + (xy 86.719104 143.771227) (xy 86.70482 143.711732) (xy 86.728235 143.655205) (xy 86.780404 143.623235) + (xy 86.803515 143.6205) (xy 87.274521 143.6205) (xy 87.332712 143.639407) (xy 87.344525 143.649496) + (xy 87.924736 144.229707) (xy 87.952513 144.284224) (xy 87.946196 144.337596) (xy 87.88987 144.473578) + (xy 87.88987 144.47358) (xy 87.8495 144.676532) (xy 87.8495 144.883467) (xy 87.889869 145.086418) + (xy 87.969058 145.277597) (xy 88.08402 145.449651) (xy 88.084023 145.449655) (xy 88.230345 145.595977) + (xy 88.402402 145.710941) (xy 88.59358 145.79013) (xy 88.796535 145.8305) (xy 88.796536 145.8305) + (xy 89.003464 145.8305) (xy 89.003465 145.8305) (xy 89.20642 145.79013) (xy 89.397598 145.710941) + (xy 89.569655 145.595977) (xy 89.700498 145.465133) (xy 89.755013 145.437358) (xy 89.815445 145.446929) + (xy 89.85871 145.490194) (xy 89.8695 145.535139) (xy 89.8695 145.884521) (xy 89.850593 145.942712) + (xy 89.840503 145.954525) (xy 89.450291 146.344736) (xy 89.395775 146.372513) (xy 89.342402 146.366196) + (xy 89.206418 146.309869) (xy 89.003467 146.2695) (xy 89.003465 146.2695) (xy 88.796535 146.2695) + (xy 88.796532 146.2695) (xy 88.593581 146.309869) (xy 88.402402 146.389058) (xy 88.230348 146.50402) + (xy 88.08402 146.650348) (xy 87.969058 146.822402) (xy 87.889869 147.013581) (xy 87.8495 147.216532) + (xy 87.8495 147.423467) (xy 87.889869 147.626418) (xy 87.969058 147.817597) (xy 88.08402 147.989651) + (xy 88.084023 147.989655) (xy 88.230345 148.135977) (xy 88.402402 148.250941) (xy 88.59358 148.33013) + (xy 88.796535 148.3705) (xy 88.796536 148.3705) (xy 89.003464 148.3705) (xy 89.003465 148.3705) + (xy 89.20642 148.33013) (xy 89.397598 148.250941) (xy 89.569655 148.135977) (xy 89.715977 147.989655) + (xy 89.830941 147.817598) (xy 89.91013 147.62642) (xy 89.9505 147.423465) (xy 89.9505 147.216535) + (xy 89.91013 147.01358) (xy 89.853802 146.877595) (xy 89.849002 146.816599) (xy 89.875261 146.769708) + (xy 90.41046 146.234511) (xy 90.450021 146.165989) (xy 90.4705 146.089562) (xy 90.4705 145.535139) + (xy 90.489407 145.476948) (xy 90.538907 145.440984) (xy 90.600093 145.440984) (xy 90.639501 145.465133) + (xy 90.770345 145.595977) (xy 90.942402 145.710941) (xy 91.13358 145.79013) (xy 91.336535 145.8305) + (xy 91.336536 145.8305) (xy 91.543464 145.8305) (xy 91.543465 145.8305) (xy 91.74642 145.79013) + (xy 91.937598 145.710941) (xy 92.109655 145.595977) (xy 92.240498 145.465133) (xy 92.295013 145.437358) + (xy 92.355445 145.446929) (xy 92.39871 145.490194) (xy 92.4095 145.535139) (xy 92.4095 145.884521) + (xy 92.390593 145.942712) (xy 92.380503 145.954525) (xy 91.990291 146.344736) (xy 91.935775 146.372513) + (xy 91.882402 146.366196) (xy 91.746418 146.309869) (xy 91.543467 146.2695) (xy 91.543465 146.2695) + (xy 91.336535 146.2695) (xy 91.336532 146.2695) (xy 91.133581 146.309869) (xy 90.942402 146.389058) + (xy 90.770348 146.50402) (xy 90.62402 146.650348) (xy 90.509058 146.822402) (xy 90.429869 147.013581) + (xy 90.3895 147.216532) (xy 90.3895 147.423467) (xy 90.429869 147.626418) (xy 90.509058 147.817597) + (xy 90.62402 147.989651) (xy 90.624023 147.989655) (xy 90.770345 148.135977) (xy 90.942402 148.250941) + (xy 91.13358 148.33013) (xy 91.336535 148.3705) (xy 91.336536 148.3705) (xy 91.543464 148.3705) + (xy 91.543465 148.3705) (xy 91.74642 148.33013) (xy 91.937598 148.250941) (xy 92.109655 148.135977) + (xy 92.255977 147.989655) (xy 92.370941 147.817598) (xy 92.45013 147.62642) (xy 92.4905 147.423465) + (xy 92.4905 147.216535) (xy 92.45013 147.01358) (xy 92.393802 146.877595) (xy 92.389002 146.816599) + (xy 92.415261 146.769708) (xy 92.95046 146.234511) (xy 92.990021 146.165989) (xy 93.0105 146.089562) + (xy 93.0105 145.535139) (xy 93.029407 145.476948) (xy 93.078907 145.440984) (xy 93.140093 145.440984) + (xy 93.179501 145.465133) (xy 93.310345 145.595977) (xy 93.482402 145.710941) (xy 93.67358 145.79013) + (xy 93.876535 145.8305) (xy 93.876536 145.8305) (xy 94.083464 145.8305) (xy 94.083465 145.8305) + (xy 94.28642 145.79013) (xy 94.477598 145.710941) (xy 94.649655 145.595977) (xy 94.780498 145.465133) + (xy 94.835013 145.437358) (xy 94.895445 145.446929) (xy 94.93871 145.490194) (xy 94.9495 145.535139) + (xy 94.9495 145.884521) (xy 94.930593 145.942712) (xy 94.920503 145.954525) (xy 94.530291 146.344736) + (xy 94.475775 146.372513) (xy 94.422402 146.366196) (xy 94.286418 146.309869) (xy 94.083467 146.2695) + (xy 94.083465 146.2695) (xy 93.876535 146.2695) (xy 93.876532 146.2695) (xy 93.673581 146.309869) + (xy 93.482402 146.389058) (xy 93.310348 146.50402) (xy 93.16402 146.650348) (xy 93.049058 146.822402) + (xy 92.969869 147.013581) (xy 92.9295 147.216532) (xy 92.9295 147.423467) (xy 92.969869 147.626418) + (xy 93.049058 147.817597) (xy 93.16402 147.989651) (xy 93.164023 147.989655) (xy 93.310345 148.135977) + (xy 93.482402 148.250941) (xy 93.67358 148.33013) (xy 93.876535 148.3705) (xy 93.876536 148.3705) + (xy 94.083464 148.3705) (xy 94.083465 148.3705) (xy 94.28642 148.33013) (xy 94.477598 148.250941) + (xy 94.649655 148.135977) (xy 94.795977 147.989655) (xy 94.910941 147.817598) (xy 94.99013 147.62642) + (xy 95.0305 147.423465) (xy 95.0305 147.216535) (xy 94.99013 147.01358) (xy 94.933802 146.877595) + (xy 94.929002 146.816599) (xy 94.955261 146.769708) (xy 95.49046 146.234511) (xy 95.530021 146.165989) + (xy 95.5505 146.089562) (xy 95.5505 145.535139) (xy 95.569407 145.476948) (xy 95.618907 145.440984) + (xy 95.680093 145.440984) (xy 95.719501 145.465133) (xy 95.850345 145.595977) (xy 96.022402 145.710941) + (xy 96.21358 145.79013) (xy 96.416535 145.8305) (xy 96.416536 145.8305) (xy 96.623464 145.8305) + (xy 96.623465 145.8305) (xy 96.82642 145.79013) (xy 97.017598 145.710941) (xy 97.189655 145.595977) + (xy 97.320498 145.465133) (xy 97.375013 145.437358) (xy 97.435445 145.446929) (xy 97.47871 145.490194) + (xy 97.4895 145.535139) (xy 97.4895 145.884521) (xy 97.470593 145.942712) (xy 97.460503 145.954525) + (xy 97.070291 146.344736) (xy 97.015775 146.372513) (xy 96.962402 146.366196) (xy 96.826418 146.309869) + (xy 96.623467 146.2695) (xy 96.623465 146.2695) (xy 96.416535 146.2695) (xy 96.416532 146.2695) + (xy 96.213581 146.309869) (xy 96.022402 146.389058) (xy 95.850348 146.50402) (xy 95.70402 146.650348) + (xy 95.589058 146.822402) (xy 95.509869 147.013581) (xy 95.4695 147.216532) (xy 95.4695 147.423467) + (xy 95.509869 147.626418) (xy 95.589058 147.817597) (xy 95.70402 147.989651) (xy 95.704023 147.989655) + (xy 95.850345 148.135977) (xy 96.022402 148.250941) (xy 96.21358 148.33013) (xy 96.416535 148.3705) + (xy 96.416536 148.3705) (xy 96.623464 148.3705) (xy 96.623465 148.3705) (xy 96.82642 148.33013) + (xy 97.017598 148.250941) (xy 97.189655 148.135977) (xy 97.335977 147.989655) (xy 97.450941 147.817598) + (xy 97.53013 147.62642) (xy 97.5705 147.423465) (xy 97.5705 147.216535) (xy 97.570499 147.216532) + (xy 98.0095 147.216532) (xy 98.0095 147.423467) (xy 98.049869 147.626418) (xy 98.129058 147.817597) + (xy 98.24402 147.989651) (xy 98.244023 147.989655) (xy 98.390345 148.135977) (xy 98.562402 148.250941) + (xy 98.75358 148.33013) (xy 98.956535 148.3705) (xy 98.956536 148.3705) (xy 99.163464 148.3705) + (xy 99.163465 148.3705) (xy 99.36642 148.33013) (xy 99.557598 148.250941) (xy 99.729655 148.135977) + (xy 99.875977 147.989655) (xy 99.990941 147.817598) (xy 100.07013 147.62642) (xy 100.079762 147.577996) + (xy 100.109656 147.524613) (xy 100.165221 147.498996) (xy 100.225231 147.510932) (xy 100.266764 147.555861) + (xy 100.27464 147.581822) (xy 100.283241 147.636122) (xy 100.348904 147.838215) (xy 100.445375 148.027552) + (xy 100.570277 148.199464) (xy 100.720535 148.349722) (xy 100.892447 148.474624) (xy 101.081784 148.571095) + (xy 101.283877 148.636758) (xy 101.349999 148.647231) (xy 101.35 148.64723) (xy 101.35 147.753012) + (xy 101.407007 147.785925) (xy 101.534174 147.82) (xy 101.665826 147.82) (xy 101.792993 147.785925) + (xy 101.85 147.753012) (xy 101.85 148.647231) (xy 101.916122 148.636758) (xy 102.118215 148.571095) + (xy 102.307552 148.474624) (xy 102.479464 148.349722) (xy 102.629722 148.199464) (xy 102.754624 148.027552) + (xy 102.851095 147.838215) (xy 102.916759 147.636121) (xy 102.925359 147.581823) (xy 102.953136 147.527307) + (xy 103.007653 147.499529) (xy 103.068085 147.5091) (xy 103.111349 147.552365) (xy 103.120238 147.577996) + (xy 103.129869 147.626418) (xy 103.209058 147.817597) (xy 103.32402 147.989651) (xy 103.324023 147.989655) + (xy 103.470345 148.135977) (xy 103.642402 148.250941) (xy 103.83358 148.33013) (xy 104.036535 148.3705) + (xy 104.036536 148.3705) (xy 104.243464 148.3705) (xy 104.243465 148.3705) (xy 104.44642 148.33013) + (xy 104.637598 148.250941) (xy 104.809655 148.135977) (xy 104.955977 147.989655) (xy 105.070941 147.817598) + (xy 105.15013 147.62642) (xy 105.1905 147.423465) (xy 105.1905 147.216535) (xy 105.15013 147.01358) + (xy 105.070941 146.822402) (xy 104.955977 146.650345) (xy 104.809655 146.504023) (xy 104.729182 146.450253) + (xy 104.637597 146.389058) (xy 104.446418 146.309869) (xy 104.243467 146.2695) (xy 104.243465 146.2695) + (xy 104.036535 146.2695) (xy 104.036532 146.2695) (xy 103.833581 146.309869) (xy 103.642402 146.389058) + (xy 103.470348 146.50402) (xy 103.32402 146.650348) (xy 103.209058 146.822402) (xy 103.129869 147.013581) + (xy 103.120238 147.062003) (xy 103.090341 147.115387) (xy 103.034776 147.141003) (xy 102.974766 147.129066) + (xy 102.933234 147.084136) (xy 102.925359 147.058176) (xy 102.916759 147.003878) (xy 102.851095 146.801784) + (xy 102.754624 146.612447) (xy 102.629722 146.440535) (xy 102.479464 146.290277) (xy 102.307552 146.165375) + (xy 102.118215 146.068904) (xy 101.916122 146.003241) (xy 101.861822 145.99464) (xy 101.807306 145.966862) + (xy 101.779529 145.912345) (xy 101.789101 145.851913) (xy 101.832366 145.808649) (xy 101.857993 145.799762) + (xy 101.90642 145.79013) (xy 102.097598 145.710941) (xy 102.269655 145.595977) (xy 102.415977 145.449655) + (xy 102.530941 145.277598) (xy 102.61013 145.08642) (xy 102.6505 144.883465) (xy 102.6505 144.676535) + (xy 102.61013 144.47358) (xy 102.530941 144.282402) (xy 102.415977 144.110345) (xy 102.269655 143.964023) + (xy 102.254445 143.95386) (xy 102.097597 143.849058) (xy 101.906418 143.769869) (xy 101.703467 143.7295) + (xy 101.703465 143.7295) (xy 101.496535 143.7295) (xy 101.496532 143.7295) (xy 101.293581 143.769869) + (xy 101.102402 143.849058) (xy 100.930348 143.96402) (xy 100.78402 144.110348) (xy 100.669058 144.282402) + (xy 100.589869 144.473581) (xy 100.5495 144.676532) (xy 100.5495 144.883467) (xy 100.589869 145.086418) + (xy 100.669058 145.277597) (xy 100.78402 145.449651) (xy 100.784023 145.449655) (xy 100.930345 145.595977) + (xy 101.102402 145.710941) (xy 101.29358 145.79013) (xy 101.342003 145.799762) (xy 101.395386 145.829657) + (xy 101.421003 145.885221) (xy 101.409067 145.945231) (xy 101.364138 145.986765) (xy 101.338177 145.99464) + (xy 101.283877 146.003241) (xy 101.081784 146.068904) (xy 100.892447 146.165375) (xy 100.720535 146.290277) + (xy 100.570277 146.440535) (xy 100.445375 146.612447) (xy 100.348904 146.801784) (xy 100.283241 147.003877) + (xy 100.27464 147.058177) (xy 100.246862 147.112694) (xy 100.192345 147.14047) (xy 100.131913 147.130898) + (xy 100.088649 147.087633) (xy 100.079762 147.062006) (xy 100.07013 147.01358) (xy 99.990941 146.822402) + (xy 99.875977 146.650345) (xy 99.729655 146.504023) (xy 99.649182 146.450253) (xy 99.557597 146.389058) + (xy 99.366418 146.309869) (xy 99.163467 146.2695) (xy 99.163465 146.2695) (xy 98.956535 146.2695) + (xy 98.956532 146.2695) (xy 98.753581 146.309869) (xy 98.562402 146.389058) (xy 98.390348 146.50402) + (xy 98.24402 146.650348) (xy 98.129058 146.822402) (xy 98.049869 147.013581) (xy 98.0095 147.216532) + (xy 97.570499 147.216532) (xy 97.53013 147.01358) (xy 97.473802 146.877595) (xy 97.469002 146.816599) + (xy 97.495261 146.769708) (xy 98.03046 146.234511) (xy 98.070021 146.165989) (xy 98.0905 146.089562) + (xy 98.0905 145.535139) (xy 98.109407 145.476948) (xy 98.158907 145.440984) (xy 98.220093 145.440984) + (xy 98.259501 145.465133) (xy 98.390345 145.595977) (xy 98.562402 145.710941) (xy 98.75358 145.79013) + (xy 98.956535 145.8305) (xy 98.956536 145.8305) (xy 99.163464 145.8305) (xy 99.163465 145.8305) + (xy 99.36642 145.79013) (xy 99.557598 145.710941) (xy 99.729655 145.595977) (xy 99.875977 145.449655) + (xy 99.990941 145.277598) (xy 100.07013 145.08642) (xy 100.1105 144.883465) (xy 100.1105 144.676535) + (xy 100.07013 144.47358) (xy 99.990941 144.282402) (xy 99.875977 144.110345) (xy 99.729655 143.964023) + (xy 99.714445 143.95386) (xy 99.557597 143.849058) (xy 99.366418 143.769869) (xy 99.163467 143.7295) + (xy 99.163465 143.7295) (xy 98.956535 143.7295) (xy 98.956532 143.7295) (xy 98.753581 143.769869) + (xy 98.562402 143.849058) (xy 98.390348 143.96402) (xy 98.390345 143.964022) (xy 98.390345 143.964023) + (xy 98.259501 144.094866) (xy 98.204987 144.122642) (xy 98.144555 144.113071) (xy 98.10129 144.069806) + (xy 98.0905 144.024861) (xy 98.0905 142.490437) (xy 98.090499 142.490435) (xy 98.070021 142.414011) + (xy 98.070019 142.414007) (xy 98.03046 142.345489) (xy 97.974511 142.289539) (xy 97.974511 142.28954) + (xy 97.909496 142.224525) (xy 97.881719 142.170008) (xy 97.8805 142.154521) (xy 97.8805 141.368321) + (xy 97.899407 141.31013) (xy 97.90949 141.298323) (xy 97.9805 141.227314) (xy 98.046392 141.113186) + (xy 98.0805 140.985892) (xy 98.0805 140.854108) (xy 98.046392 140.726814) (xy 98.04639 140.726811) + (xy 98.04639 140.726809) (xy 97.980503 140.61269) (xy 97.980501 140.612688) (xy 97.9805 140.612686) + (xy 97.887314 140.5195) (xy 97.887311 140.519498) (xy 97.887309 140.519496) (xy 97.773189 140.453609) + (xy 97.773191 140.453609) (xy 97.723799 140.440375) (xy 97.645892 140.4195) (xy 97.514108 140.4195) + (xy 97.4362 140.440375) (xy 97.386809 140.453609) (xy 97.27269 140.519496) (xy 97.179496 140.61269) + (xy 97.113609 140.726809) (xy 97.113608 140.726814) (xy 97.0795 140.854108) (xy 97.0795 140.985892) + (xy 97.102275 141.070889) (xy 97.113609 141.11319) (xy 97.179496 141.227309) (xy 97.179498 141.227311) + (xy 97.1795 141.227314) (xy 97.250505 141.298319) (xy 97.278281 141.352834) (xy 97.2795 141.368321) + (xy 97.2795 142.359561) (xy 97.299979 142.435989) (xy 97.299979 142.435991) (xy 97.302282 142.439978) + (xy 97.302283 142.439979) (xy 97.33954 142.504511) (xy 97.460505 142.625476) (xy 97.488281 142.679991) + (xy 97.4895 142.695478) (xy 97.4895 144.024861) (xy 97.470593 144.083052) (xy 97.421093 144.119016) + (xy 97.359907 144.119016) (xy 97.320498 144.094866) (xy 97.189655 143.964023) (xy 97.174445 143.95386) + (xy 97.017597 143.849058) (xy 96.826418 143.769869) (xy 96.623467 143.7295) (xy 96.623465 143.7295) + (xy 96.416535 143.7295) (xy 96.416532 143.7295) (xy 96.21358 143.76987) (xy 96.213578 143.76987) + (xy 96.077596 143.826196) (xy 96.0166 143.830997) (xy 95.969707 143.804736) (xy 95.384471 143.2195) + (xy 94.751511 142.58654) (xy 94.747569 142.584264) (xy 94.722104 142.569561) (xy 94.722104 142.569562) + (xy 94.682989 142.546979) (xy 94.682988 142.546978) (xy 94.682987 142.546978) (xy 94.606564 142.5265) + (xy 94.606562 142.5265) (xy 91.353779 142.5265) (xy 91.295588 142.507593) (xy 91.283775 142.497504) + (xy 90.940792 142.154521) (xy 89.860811 141.07454) (xy 89.860805 141.074536) (xy 89.860803 141.074535) + (xy 89.854488 141.070889) (xy 89.854487 141.070888) (xy 89.854487 141.070889) (xy 89.792289 141.034979) + (xy 89.792288 141.034978) (xy 89.792287 141.034978) (xy 89.715864 141.0145) (xy 89.715862 141.0145) + (xy 84.475979 141.0145) (xy 84.417788 140.995593) (xy 84.405975 140.985504) (xy 83.623191 140.20272) + (xy 83.595414 140.148203) (xy 83.604985 140.087771) (xy 83.64825 140.044506) (xy 83.708682 140.034935) + (xy 83.738139 140.044506) (xy 83.826874 140.089719) (xy 83.902541 140.101703) (xy 83.92651 140.1055) + (xy 83.926512 140.1055) (xy 84.49349 140.1055) (xy 84.514885 140.102111) (xy 84.593126 140.089719) + (xy 84.71322 140.028528) (xy 84.808528 139.93322) (xy 84.869719 139.813126) (xy 84.869719 139.813124) + (xy 84.871538 139.809555) (xy 84.887929 139.793163) (xy 84.901557 139.774407) (xy 84.909154 139.771938) + (xy 84.914803 139.76629) (xy 84.959748 139.7555) (xy 85.1105 139.7555) (xy 85.168691 139.774407) + (xy 85.204655 139.823907) (xy 85.2095 139.8545) (xy 85.2095 140.149746) (xy 85.209501 140.149758) + (xy 85.221132 140.208227) (xy 85.221134 140.208233) (xy 85.255946 140.260332) (xy 85.265448 140.274552) + (xy 85.331769 140.318867) (xy 85.376231 140.327711) (xy 85.390241 140.330498) (xy 85.390246 140.330498) + (xy 85.390252 140.3305) (xy 85.390253 140.3305) (xy 86.829747 140.3305) (xy 86.829748 140.3305) + (xy 86.888231 140.318867) (xy 86.954552 140.274552) (xy 86.959847 140.266627) (xy 87.007892 140.228747) + (xy 87.06903 140.226341) (xy 87.119906 140.260332) (xy 87.134921 140.287029) (xy 87.166647 140.37209) + (xy 87.252807 140.487184) (xy 87.252815 140.487192) (xy 87.367909 140.573352) (xy 87.367911 140.573353) + (xy 87.502618 140.623596) (xy 87.502629 140.623598) (xy 87.562176 140.63) (xy 88.059999 140.63) + (xy 88.06 140.629999) (xy 88.06 139.780001) (xy 88.56 139.780001) (xy 88.56 140.629999) (xy 88.560001 140.63) + (xy 89.057824 140.63) (xy 89.11737 140.623598) (xy 89.117381 140.623596) (xy 89.252088 140.573353) + (xy 89.25209 140.573352) (xy 89.367184 140.487192) (xy 89.367192 140.487184) (xy 89.453352 140.37209) + (xy 89.453353 140.372088) (xy 89.465129 140.340517) (xy 89.503179 140.292602) (xy 89.562126 140.276204) + (xy 89.609861 140.290853) (xy 89.651514 140.316546) (xy 89.812396 140.369856) (xy 89.911677 140.379999) + (xy 89.96 140.379998) (xy 89.96 139.680001) (xy 89.959999 139.68) (xy 89.615001 139.68) (xy 89.615 139.680001) + (xy 89.615 139.681) (xy 89.596093 139.739191) (xy 89.546593 139.775155) (xy 89.516 139.78) (xy 88.560001 139.78) + (xy 88.56 139.780001) (xy 88.06 139.780001) (xy 88.06 139.629) (xy 88.078907 139.570809) (xy 88.128407 139.534845) + (xy 88.159 139.53) (xy 88.309999 139.53) (xy 88.31 139.529999) (xy 88.31 139.379) (xy 88.328907 139.320809) + (xy 88.378407 139.284845) (xy 88.409 139.28) (xy 89.129999 139.28) (xy 89.13 139.279999) (xy 89.13 139.279) + (xy 89.148907 139.220809) (xy 89.198407 139.184845) (xy 89.229 139.18) (xy 90.111 139.18) (xy 90.169191 139.198907) + (xy 90.205155 139.248407) (xy 90.21 139.279) (xy 90.21 139.429999) (xy 90.210001 139.43) (xy 90.361 139.43) + (xy 90.419191 139.448907) (xy 90.455155 139.498407) (xy 90.46 139.529) (xy 90.46 140.379998) (xy 90.460001 140.379999) + (xy 90.508322 140.379999) (xy 90.607597 140.369857) (xy 90.607609 140.369854) (xy 90.768487 140.316545) + (xy 90.912729 140.227574) (xy 91.032572 140.107731) (xy 91.064157 140.056524) (xy 91.110798 140.016923) + (xy 91.171808 140.012299) (xy 91.199723 140.025216) (xy 91.199838 140.024991) (xy 91.205783 140.02802) + (xy 91.206606 140.028401) (xy 91.206775 140.028523) (xy 91.20678 140.028528) (xy 91.238139 140.044506) + (xy 91.326867 140.089716) (xy 91.326869 140.089716) (xy 91.326874 140.089719) (xy 91.402541 140.101703) + (xy 91.42651 140.1055) (xy 91.426512 140.1055) (xy 91.94349 140.1055) (xy 91.964885 140.102111) + (xy 92.043126 140.089719) (xy 92.16322 140.028528) (xy 92.204531 139.987216) (xy 92.259045 139.95944) + (xy 92.319477 139.969011) (xy 92.358793 140.005248) (xy 92.437426 140.132729) (xy 92.437426 140.13273) + (xy 92.55727 140.252574) (xy 92.701512 140.341545) (xy 92.862396 140.394856) (xy 92.961676 140.404999) + (xy 92.985 140.404998) (xy 92.985 139.680001) (xy 93.485 139.680001) (xy 93.485 140.404998) (xy 93.485001 140.404999) + (xy 93.508322 140.404999) (xy 93.607597 140.394857) (xy 93.607609 140.394854) (xy 93.768487 140.341545) + (xy 93.912729 140.252574) (xy 94.032574 140.132729) (xy 94.121545 139.988487) (xy 94.174856 139.827603) + (xy 94.185 139.728323) (xy 94.185 139.680001) (xy 94.184999 139.68) (xy 93.485001 139.68) (xy 93.485 139.680001) + (xy 92.985 139.680001) (xy 92.985 138.180001) (xy 93.485 138.180001) (xy 93.485 139.179999) (xy 93.485001 139.18) + (xy 94.184998 139.18) (xy 94.184999 139.179999) (xy 94.184999 139.131678) (xy 94.178075 139.063908) + (xy 94.19097 139.004097) (xy 94.236558 138.963288) (xy 94.297426 138.95707) (xy 94.326062 138.96811) + (xy 94.42181 139.02339) (xy 94.421808 139.02339) (xy 94.421812 139.023391) (xy 94.421814 139.023392) + (xy 94.549108 139.0575) (xy 94.54911 139.0575) (xy 94.68089 139.0575) (xy 94.680892 139.0575) (xy 94.808186 139.023392) + (xy 94.808188 139.02339) (xy 94.80819 139.02339) (xy 94.922309 138.957503) (xy 94.922309 138.957502) + (xy 94.922314 138.9575) (xy 94.993319 138.886494) (xy 95.047834 138.858719) (xy 95.063321 138.8575) + (xy 97.751521 138.8575) (xy 97.809712 138.876407) (xy 97.821525 138.886496) (xy 103.164736 144.229707) + (xy 103.192513 144.284224) (xy 103.186196 144.337596) (xy 103.12987 144.473578) (xy 103.12987 144.47358) + (xy 103.0895 144.676532) (xy 103.0895 144.883467) (xy 103.129869 145.086418) (xy 103.209058 145.277597) + (xy 103.32402 145.449651) (xy 103.324023 145.449655) (xy 103.470345 145.595977) (xy 103.642402 145.710941) + (xy 103.83358 145.79013) (xy 104.036535 145.8305) (xy 104.036536 145.8305) (xy 104.243464 145.8305) + (xy 104.243465 145.8305) (xy 104.44642 145.79013) (xy 104.637598 145.710941) (xy 104.809655 145.595977) + (xy 104.955977 145.449655) (xy 105.070941 145.277598) (xy 105.15013 145.08642) (xy 105.1905 144.883465) + (xy 105.1905 144.676535) (xy 105.15013 144.47358) (xy 105.070941 144.282402) (xy 104.955977 144.110345) + (xy 104.809655 143.964023) (xy 104.794445 143.95386) (xy 104.637597 143.849058) (xy 104.446418 143.769869) + (xy 104.243467 143.7295) (xy 104.243465 143.7295) (xy 104.036535 143.7295) (xy 104.036532 143.7295) + (xy 103.83358 143.76987) (xy 103.833578 143.76987) (xy 103.697596 143.826196) (xy 103.6366 143.830997) + (xy 103.589707 143.804736) (xy 100.855859 141.070888) (xy 98.101511 138.31654) (xy 98.092066 138.311087) + (xy 98.032989 138.276979) (xy 98.032988 138.276978) (xy 98.032987 138.276978) (xy 97.956564 138.2565) + (xy 97.956562 138.2565) (xy 95.063321 138.2565) (xy 95.00513 138.237593) (xy 94.993323 138.227509) + (xy 94.922314 138.1565) (xy 94.922311 138.156498) (xy 94.922309 138.156496) (xy 94.808189 138.090609) + (xy 94.808191 138.090609) (xy 94.758799 138.077375) (xy 94.680892 138.0565) (xy 94.549108 138.0565) + (xy 94.4712 138.077375) (xy 94.421809 138.090609) (xy 94.30769 138.156496) (xy 94.30768 138.156504) + (xy 94.304586 138.159598) (xy 94.250066 138.187368) (xy 94.203584 138.18) (xy 93.485001 138.18) + (xy 93.485 138.180001) (xy 92.985 138.180001) (xy 92.985 136.680001) (xy 93.485 136.680001) (xy 93.485 137.679999) + (xy 93.485001 137.68) (xy 94.184998 137.68) (xy 94.184999 137.679999) (xy 94.184999 137.631677) + (xy 94.174857 137.532402) (xy 94.174854 137.53239) (xy 94.121546 137.371514) (xy 94.035474 137.231974) + (xy 94.021017 137.172521) (xy 94.035474 137.128026) (xy 94.121546 136.988485) (xy 94.174856 136.827603) + (xy 94.185 136.728323) (xy 94.185 136.680001) (xy 94.184999 136.68) (xy 93.485001 136.68) (xy 93.485 136.680001) + (xy 92.985 136.680001) (xy 92.985 135.180001) (xy 93.485 135.180001) (xy 93.485 136.179999) (xy 93.485001 136.18) + (xy 94.184998 136.18) (xy 94.184999 136.179999) (xy 94.184999 136.131677) (xy 94.174857 136.032402) + (xy 94.174854 136.03239) (xy 94.121546 135.871514) (xy 94.035474 135.731974) (xy 94.021017 135.672521) + (xy 94.035474 135.628026) (xy 94.121546 135.488485) (xy 94.174856 135.327603) (xy 94.185 135.228323) + (xy 94.185 135.180001) (xy 94.184999 135.18) (xy 93.485001 135.18) (xy 93.485 135.180001) (xy 92.985 135.180001) + (xy 92.985 133.680001) (xy 93.485 133.680001) (xy 93.485 134.679999) (xy 93.485001 134.68) (xy 94.184998 134.68) + (xy 94.184999 134.679999) (xy 94.184999 134.631677) (xy 94.174857 134.532402) (xy 94.174854 134.53239) + (xy 94.121546 134.371514) (xy 94.035474 134.231974) (xy 94.021017 134.172521) (xy 94.035474 134.128026) + (xy 94.121546 133.988485) (xy 94.174856 133.827603) (xy 94.185 133.728323) (xy 94.185 133.680001) + (xy 94.184999 133.68) (xy 93.485001 133.68) (xy 93.485 133.680001) (xy 92.985 133.680001) (xy 92.985 131.5535) + (xy 93.003907 131.495309) (xy 93.053407 131.459345) (xy 93.084 131.4545) (xy 93.386 131.4545) (xy 93.444191 131.473407) + (xy 93.480155 131.522907) (xy 93.485 131.5535) (xy 93.485 133.179999) (xy 93.485001 133.18) (xy 94.184998 133.18) + (xy 94.184999 133.179999) (xy 94.184999 133.131677) (xy 94.174857 133.032402) (xy 94.174854 133.03239) + (xy 94.121546 132.871514) (xy 94.035474 132.731974) (xy 94.02993 132.709178) (xy 94.021017 132.68748) + (xy 94.022835 132.68) (xy 94.021017 132.672521) (xy 94.035474 132.628027) (xy 94.10536 132.514726) + (xy 94.152001 132.475125) (xy 94.213012 132.470502) (xy 94.259624 132.496695) (xy 94.880504 133.117575) + (xy 94.908281 133.172092) (xy 94.9095 133.187579) (xy 94.9095 133.719674) (xy 94.929978 133.796098) + (xy 94.937463 133.809061) (xy 94.958266 133.845093) (xy 94.958267 133.845097) (xy 94.958268 133.845097) + (xy 94.969538 133.864618) (xy 94.969539 133.86462) (xy 95.193539 134.088621) (xy 95.19354 134.088621) + (xy 95.49543 134.390511) (xy 96.275379 135.17046) (xy 96.275381 135.170461) (xy 96.275382 135.170462) + (xy 96.275383 135.170463) (xy 96.343898 135.21002) (xy 96.343896 135.21002) (xy 96.3439 135.210021) + (xy 96.343902 135.210022) (xy 96.420328 135.2305) (xy 96.499452 135.2305) (xy 96.952641 135.2305) + (xy 97.010832 135.249407) (xy 97.046796 135.298907) (xy 97.050004 135.312275) (xy 97.050282 135.313129) + (xy 97.11147 135.433217) (xy 97.111472 135.43322) (xy 97.20678 135.528528) (xy 97.326874 135.589719) + (xy 97.326878 135.589719) (xy 97.330445 135.591537) (xy 97.346835 135.607927) (xy 97.365593 135.621556) + (xy 97.368061 135.629154) (xy 97.373709 135.634802) (xy 97.3845 135.679747) (xy 97.3845 135.680251) + (xy 97.365593 135.738442) (xy 97.330446 135.76846) (xy 97.206781 135.831471) (xy 97.11147 135.926782) + (xy 97.050283 136.046867) (xy 97.050281 136.046874) (xy 97.0345 136.14651) (xy 97.0345 136.713489) + (xy 97.050281 136.813125) (xy 97.050283 136.813132) (xy 97.11147 136.933217) (xy 97.111472 136.93322) + (xy 97.20678 137.028528) (xy 97.206782 137.028529) (xy 97.326867 137.089716) (xy 97.326869 137.089716) + (xy 97.326874 137.089719) (xy 97.401869 137.101597) (xy 97.42651 137.1055) (xy 97.426512 137.1055) + (xy 97.94349 137.1055) (xy 97.964885 137.102111) (xy 98.043126 137.089719) (xy 98.16322 137.028528) + (xy 98.258528 136.93322) (xy 98.319719 136.813126) (xy 98.3355 136.713488) (xy 98.3355 136.146512) + (xy 98.33315 136.131677) (xy 98.331703 136.122541) (xy 98.319719 136.046874) (xy 98.319716 136.046869) + (xy 98.319716 136.046867) (xy 98.258529 135.926782) (xy 98.258528 135.92678) (xy 98.16322 135.831472) + (xy 98.160844 135.830261) (xy 98.039554 135.76846) (xy 98.023164 135.75207) (xy 98.004407 135.738442) + (xy 98.001938 135.730843) (xy 97.99629 135.725195) (xy 97.9855 135.680251) (xy 97.9855 135.679747) + (xy 98.004407 135.621556) (xy 98.039555 135.591537) (xy 98.043121 135.589719) (xy 98.043126 135.589719) + (xy 98.16322 135.528528) (xy 98.258528 135.43322) (xy 98.319719 135.313126) (xy 98.334588 135.219246) + (xy 98.3355 135.213489) (xy 98.3355 134.64651) (xy 98.32336 134.569864) (xy 98.319719 134.546874) + (xy 98.319716 134.546869) (xy 98.319716 134.546867) (xy 98.258529 134.426782) (xy 98.258528 134.42678) + (xy 98.16322 134.331472) (xy 98.163217 134.33147) (xy 98.039061 134.268209) (xy 97.995796 134.224945) + (xy 97.986225 134.164513) (xy 98.014003 134.109996) (xy 98.039061 134.091791) (xy 98.146147 134.037227) + (xy 98.16322 134.028528) (xy 98.258528 133.93322) (xy 98.319719 133.813126) (xy 98.3355 133.713488) + (xy 98.3355 133.146512) (xy 98.33315 133.131677) (xy 98.331703 133.122541) (xy 98.319719 133.046874) + (xy 98.258528 132.92678) (xy 98.258526 132.926778) (xy 98.257334 132.924438) (xy 98.247762 132.864006) + (xy 98.275539 132.809489) (xy 98.293571 132.795231) (xy 98.362731 132.752572) (xy 98.482571 132.632732) + (xy 98.561205 132.505248) (xy 98.607847 132.465647) (xy 98.668857 132.461024) (xy 98.715467 132.487215) + (xy 98.75678 132.528528) (xy 98.768609 132.534555) (xy 98.880939 132.591791) (xy 98.924203 132.635056) + (xy 98.933774 132.695488) (xy 98.905996 132.750004) (xy 98.880939 132.768209) (xy 98.756781 132.831471) + (xy 98.66147 132.926782) (xy 98.600283 133.046867) (xy 98.600281 133.046874) (xy 98.58685 133.131677) + (xy 98.5845 133.146512) (xy 98.5845 133.713488) (xy 98.600067 133.811778) (xy 98.600281 133.813125) + (xy 98.600283 133.813132) (xy 98.66147 133.933217) (xy 98.661472 133.93322) (xy 98.75678 134.028528) + (xy 98.773853 134.037227) (xy 98.880939 134.091791) (xy 98.924203 134.135056) (xy 98.933774 134.195488) + (xy 98.905996 134.250004) (xy 98.880939 134.268209) (xy 98.756781 134.331471) (xy 98.66147 134.426782) + (xy 98.600283 134.546867) (xy 98.600281 134.546874) (xy 98.5845 134.64651) (xy 98.5845 135.213489) + (xy 98.600281 135.313125) (xy 98.600283 135.313132) (xy 98.66147 135.433217) (xy 98.661472 135.43322) + (xy 98.75678 135.528528) (xy 98.876874 135.589719) (xy 98.876878 135.589719) (xy 98.880445 135.591537) + (xy 98.896835 135.607927) (xy 98.915593 135.621556) (xy 98.918061 135.629154) (xy 98.923709 135.634802) + (xy 98.9345 135.679747) (xy 98.9345 135.680251) (xy 98.915593 135.738442) (xy 98.880446 135.76846) + (xy 98.756781 135.831471) (xy 98.66147 135.926782) (xy 98.600283 136.046867) (xy 98.600281 136.046874) + (xy 98.5845 136.14651) (xy 98.5845 136.713489) (xy 98.600281 136.813125) (xy 98.600283 136.813132) + (xy 98.66147 136.933217) (xy 98.661472 136.93322) (xy 98.75678 137.028528) (xy 98.756782 137.028529) + (xy 98.876867 137.089716) (xy 98.876869 137.089716) (xy 98.876874 137.089719) (xy 98.951869 137.101597) + (xy 98.97651 137.1055) (xy 98.976512 137.1055) (xy 99.49349 137.1055) (xy 99.514885 137.102111) + (xy 99.593126 137.089719) (xy 99.71322 137.028528) (xy 99.808528 136.93322) (xy 99.869719 136.813126) + (xy 99.8855 136.713488) (xy 99.8855 136.146512) (xy 99.88315 136.131677) (xy 99.881703 136.122541) + (xy 99.869719 136.046874) (xy 99.869716 136.046869) (xy 99.869716 136.046867) (xy 99.808529 135.926782) + (xy 99.808528 135.92678) (xy 99.71322 135.831472) (xy 99.710844 135.830261) (xy 99.589554 135.76846) + (xy 99.573164 135.75207) (xy 99.554407 135.738442) (xy 99.551938 135.730843) (xy 99.54629 135.725195) + (xy 99.5355 135.680251) (xy 99.5355 135.679747) (xy 99.554407 135.621556) (xy 99.589555 135.591537) + (xy 99.593121 135.589719) (xy 99.593126 135.589719) (xy 99.71322 135.528528) (xy 99.808528 135.43322) + (xy 99.865169 135.322054) (xy 99.88156 135.305663) (xy 99.895188 135.286907) (xy 99.902785 135.284438) + (xy 99.908434 135.27879) (xy 99.953379 135.268) (xy 99.964201 135.268) (xy 100.022392 135.286907) + (xy 100.05241 135.322054) (xy 100.06481 135.34639) (xy 100.110342 135.435751) (xy 100.204249 135.529658) + (xy 100.32258 135.589951) (xy 100.38913 135.600491) (xy 100.420751 135.6055) (xy 100.420754 135.6055) + (xy 100.999249 135.6055) (xy 101.027803 135.600976) (xy 101.09742 135.589951) (xy 101.215751 135.529658) + (xy 101.309658 135.435751) (xy 101.369951 135.31742) (xy 101.383249 135.233458) (xy 101.3855 135.219248) + (xy 101.3855 134.715751) (xy 101.377778 134.667) (xy 101.369951 134.61758) (xy 101.309658 134.499249) + (xy 101.215751 134.405342) (xy 101.186644 134.390511) (xy 101.097423 134.34505) (xy 101.09742 134.345049) + (xy 101.072876 134.341161) (xy 100.999249 134.3295) (xy 100.999246 134.3295) (xy 100.420754 134.3295) + (xy 100.420751 134.3295) (xy 100.32258 134.345049) (xy 100.322576 134.34505) (xy 100.20425 134.405341) + (xy 100.110341 134.49925) (xy 100.05935 134.599324) (xy 100.016085 134.642588) (xy 99.955653 134.652159) + (xy 99.901137 134.624381) (xy 99.87336 134.569864) (xy 99.869719 134.546875) (xy 99.869716 134.546867) + (xy 99.808529 134.426782) (xy 99.808528 134.42678) (xy 99.71322 134.331472) (xy 99.713217 134.33147) + (xy 99.589061 134.268209) (xy 99.545796 134.224945) (xy 99.536225 134.164513) (xy 99.564003 134.109996) + (xy 99.589061 134.091791) (xy 99.696147 134.037227) (xy 99.71322 134.028528) (xy 99.808528 133.93322) + (xy 99.869719 133.813126) (xy 99.869719 133.813119) (xy 99.872126 133.805718) (xy 99.873204 133.806068) + (xy 99.873204 133.798907) (xy 99.88683 133.780151) (xy 99.897351 133.759501) (xy 99.90447 133.755873) + (xy 99.909168 133.749407) (xy 99.931215 133.742243) (xy 99.951866 133.73172) (xy 99.967359 133.7305) + (xy 99.983308 133.7305) (xy 100.041499 133.749407) (xy 100.071517 133.784554) (xy 100.092987 133.826691) + (xy 100.110342 133.860751) (xy 100.204249 133.954658) (xy 100.32258 134.014951) (xy 100.38913 134.025491) + (xy 100.420751 134.0305) (xy 100.420754 134.0305) (xy 100.999249 134.0305) (xy 101.027803 134.025976) + (xy 101.09742 134.014951) (xy 101.215751 133.954658) (xy 101.309658 133.860751) (xy 101.369951 133.74242) + (xy 101.3855 133.644246) (xy 101.3855 133.140754) (xy 101.384062 133.131677) (xy 101.377715 133.0916) + (xy 101.369951 133.04258) (xy 101.309658 132.924249) (xy 101.215751 132.830342) (xy 101.09742 132.770049) + (xy 101.093809 132.768209) (xy 101.050545 132.724945) (xy 101.040974 132.664512) (xy 101.068752 132.609996) + (xy 101.093809 132.591791) (xy 101.13247 132.572092) (xy 101.215751 132.529658) (xy 101.309658 132.435751) + (xy 101.369951 132.31742) (xy 101.369951 132.317415) (xy 101.372999 132.311435) (xy 101.416264 132.26817) + (xy 101.476696 132.258599) (xy 101.531212 132.286376) (xy 101.549418 132.311434) (xy 101.581806 132.374998) + (xy 101.611472 132.43322) (xy 101.70678 132.528528) (xy 101.706782 132.528529) (xy 101.826867 132.589716) + (xy 101.826869 132.589716) (xy 101.826874 132.589719) (xy 101.902541 132.601703) (xy 101.92651 132.6055) + (xy 101.926512 132.6055) (xy 102.49349 132.6055) (xy 102.514936 132.602103) (xy 102.593126 132.589719) + (xy 102.71322 132.528528) (xy 102.808528 132.43322) (xy 102.869719 132.313126) (xy 102.8855 132.213488) + (xy 102.8855 131.696512) (xy 102.869719 131.596874) (xy 102.869716 131.596869) (xy 102.869716 131.596867) + (xy 102.808529 131.476782) (xy 102.808528 131.47678) (xy 102.71322 131.381472) (xy 102.713217 131.38147) + (xy 102.593132 131.320283) (xy 102.593127 131.320281) (xy 102.593126 131.320281) (xy 102.559913 131.31502) + (xy 102.49349 131.3045) (xy 102.493488 131.3045) (xy 101.926512 131.3045) (xy 101.92651 131.3045) + (xy 101.826874 131.320281) (xy 101.826867 131.320283) (xy 101.706782 131.38147) (xy 101.70678 131.381472) + (xy 101.611472 131.47678) (xy 101.550281 131.596874) (xy 101.55028 131.596875) (xy 101.548462 131.600445) + (xy 101.53207 131.616836) (xy 101.518443 131.635593) (xy 101.510845 131.638061) (xy 101.505197 131.64371) + (xy 101.460252 131.6545) (xy 101.44943 131.6545) (xy 101.391239 131.635593) (xy 101.361221 131.600446) + (xy 101.317622 131.51488) (xy 101.309658 131.499249) (xy 101.215751 131.405342) (xy 101.151849 131.372782) + (xy 101.097423 131.34505) (xy 101.09742 131.345049) (xy 101.072876 131.341161) (xy 100.999249 131.3295) + (xy 100.999246 131.3295) (xy 100.420754 131.3295) (xy 100.420751 131.3295) (xy 100.32258 131.345049) + (xy 100.322576 131.34505) (xy 100.20425 131.405341) (xy 100.110341 131.49925) (xy 100.071517 131.575446) + (xy 100.055127 131.591835) (xy 100.041499 131.610593) (xy 100.0339 131.613061) (xy 100.028252 131.61871) + (xy 99.983308 131.6295) (xy 99.967359 131.6295) (xy 99.909168 131.610593) (xy 99.873204 131.561093) + (xy 99.869995 131.547724) (xy 99.869719 131.546878) (xy 99.869719 131.546874) (xy 99.808528 131.42678) + (xy 99.71322 131.331472) (xy 99.713217 131.33147) (xy 99.589061 131.268209) (xy 99.545796 131.224945) + (xy 99.536225 131.164513) (xy 99.564003 131.109996) (xy 99.589061 131.091791) (xy 99.703116 131.033676) + (xy 99.71322 131.028528) (xy 99.808528 130.93322) (xy 99.869719 130.813126) (xy 99.87336 130.790133) + (xy 99.901137 130.735618) (xy 99.955653 130.70784) (xy 100.016085 130.717411) (xy 100.05935 130.760675) + (xy 100.086075 130.813125) (xy 100.110342 130.860751) (xy 100.204249 130.954658) (xy 100.285375 130.995994) + (xy 100.322446 131.014883) (xy 100.32258 131.014951) (xy 100.38913 131.025491) (xy 100.420751 131.0305) + (xy 100.420754 131.0305) (xy 100.999249 131.0305) (xy 101.027803 131.025976) (xy 101.09742 131.014951) + (xy 101.215751 130.954658) (xy 101.309658 130.860751) (xy 101.350775 130.780052) (xy 101.361221 130.759554) + (xy 101.37761 130.743164) (xy 101.391239 130.724407) (xy 101.398837 130.721938) (xy 101.404486 130.71629) + (xy 101.44943 130.7055) (xy 101.460252 130.7055) (xy 101.518443 130.724407) (xy 101.548462 130.759555) + (xy 101.55028 130.763124) (xy 101.550281 130.763126) (xy 101.611472 130.88322) (xy 101.70678 130.978528) + (xy 101.706782 130.978529) (xy 101.826867 131.039716) (xy 101.826869 131.039716) (xy 101.826874 131.039719) + (xy 101.902541 131.051703) (xy 101.92651 131.0555) (xy 101.926512 131.0555) (xy 102.49349 131.0555) + (xy 102.514885 131.052111) (xy 102.593126 131.039719) (xy 102.71322 130.978528) (xy 102.808528 130.88322) + (xy 102.869719 130.763126) (xy 102.8855 130.663488) (xy 102.8855 130.146512) (xy 102.869719 130.046874) + (xy 102.869716 130.046869) (xy 102.869716 130.046867) (xy 102.808529 129.926782) (xy 102.808528 129.92678) + (xy 102.71322 129.831472) (xy 102.70981 129.829735) (xy 102.597496 129.772507) (xy 102.554232 129.729242) + (xy 102.544661 129.66881) (xy 102.572439 129.614294) (xy 102.603812 129.59507) (xy 102.603361 129.594184) + (xy 102.633948 129.578598) (xy 102.723342 129.53305) (xy 102.81305 129.443342) (xy 102.870646 129.330304) + (xy 102.88338 129.249907) (xy 102.8855 129.236521) (xy 102.8855 129.155001) (xy 104.215001 129.155001) + (xy 104.215001 129.364658) (xy 104.230571 129.482935) (xy 104.230572 129.482936) (xy 104.291531 129.630107) + (xy 104.291533 129.630111) (xy 104.388508 129.75649) (xy 104.388509 129.756491) (xy 104.514888 129.853466) + (xy 104.514892 129.853468) (xy 104.662058 129.914426) (xy 104.662066 129.914428) (xy 104.780343 129.929999) + (xy 105.564998 129.929999) (xy 105.565 129.929998) (xy 105.565 129.155001) (xy 106.065 129.155001) + (xy 106.065 129.929998) (xy 106.065001 129.929999) (xy 106.849654 129.929999) (xy 106.849658 129.929998) + (xy 106.967935 129.914428) (xy 106.967936 129.914427) (xy 107.115107 129.853468) (xy 107.115111 129.853466) + (xy 107.24149 129.756491) (xy 107.241491 129.75649) (xy 107.338466 129.630111) (xy 107.338468 129.630107) + (xy 107.399426 129.482941) (xy 107.399428 129.482933) (xy 107.414999 129.364657) (xy 107.415 129.364656) + (xy 107.415 129.155001) (xy 107.414999 129.155) (xy 106.065001 129.155) (xy 106.065 129.155001) + (xy 105.565 129.155001) (xy 105.564999 129.155) (xy 104.215002 129.155) (xy 104.215001 129.155001) + (xy 102.8855 129.155001) (xy 102.8855 129.113993) (xy 102.885499 128.623479) (xy 102.885498 128.623476) + (xy 102.870647 128.5297) (xy 102.870646 128.529698) (xy 102.870646 128.529696) (xy 102.81305 128.416658) + (xy 102.723342 128.32695) (xy 102.710783 128.320551) (xy 102.639554 128.284257) (xy 102.623164 128.267867) + (xy 102.604407 128.254239) (xy 102.601938 128.24664) (xy 102.59629 128.240992) (xy 102.5855 128.196048) + (xy 102.5855 128.154271) (xy 102.604407 128.09608) (xy 102.639551 128.066064) (xy 102.71322 128.028528) + (xy 102.808528 127.93322) (xy 102.869719 127.813126) (xy 102.869719 127.813124) (xy 102.871538 127.809555) + (xy 102.914803 127.76629) (xy 102.959748 127.7555) (xy 103.515501 127.7555) (xy 103.573692 127.774407) + (xy 103.609656 127.823907) (xy 103.614501 127.8545) (xy 103.614501 127.874863) (xy 103.617414 127.89999) + (xy 103.632087 127.93322) (xy 103.662794 128.002765) (xy 103.742235 128.082206) (xy 103.845009 128.127585) + (xy 103.870135 128.1305) (xy 104.163829 128.130499) (xy 104.222017 128.149406) (xy 104.257981 128.198906) + (xy 104.257982 128.260091) (xy 104.255291 128.267384) (xy 104.230573 128.327057) (xy 104.230571 128.327066) + (xy 104.215 128.445342) (xy 104.215 128.654999) (xy 104.215001 128.655) (xy 105.564999 128.655) + (xy 105.565 128.654999) (xy 105.565 127.880001) (xy 106.065 127.880001) (xy 106.065 128.654999) + (xy 106.065001 128.655) (xy 107.414998 128.655) (xy 107.414999 128.654999) (xy 107.414999 128.528999) + (xy 107.433906 128.470808) (xy 107.483406 128.434844) (xy 107.513999 128.429999) (xy 107.75432 128.429999) + (xy 107.754324 128.429998) (xy 107.871629 128.414556) (xy 107.871631 128.414555) (xy 108.017585 128.3541) + (xy 108.017589 128.354098) (xy 108.142919 128.257929) (xy 108.142929 128.257919) (xy 108.239098 128.132589) + (xy 108.2391 128.132585) (xy 108.299555 127.986631) (xy 108.299556 127.98663) (xy 108.314999 127.869323) + (xy 108.315 127.869322) (xy 108.315 127.680001) (xy 108.314999 127.68) (xy 106.315002 127.68) (xy 106.315001 127.680001) + (xy 106.315001 127.781) (xy 106.296094 127.839191) (xy 106.246594 127.875155) (xy 106.216001 127.88) + (xy 106.065001 127.88) (xy 106.065 127.880001) (xy 105.565 127.880001) (xy 105.564999 127.88) (xy 105.1145 127.88) + (xy 105.056309 127.861093) (xy 105.020345 127.811593) (xy 105.0155 127.781) (xy 105.015499 127.078999) + (xy 105.034406 127.020808) (xy 105.083906 126.984844) (xy 105.114499 126.979999) (xy 105.564999 126.979999) + (xy 105.565 126.979998) (xy 105.565 126.205001) (xy 106.065 126.205001) (xy 106.065 126.979998) + (xy 106.065001 126.979999) (xy 106.216 126.979999) (xy 106.274191 126.998906) (xy 106.310155 127.048406) + (xy 106.315 127.078999) (xy 106.315 127.179999) (xy 106.315001 127.18) (xy 108.314998 127.18) (xy 108.314999 127.179999) + (xy 108.314999 126.99068) (xy 108.314998 126.990675) (xy 108.299556 126.87337) (xy 108.299555 126.873368) + (xy 108.2391 126.727414) (xy 108.239098 126.72741) (xy 108.142929 126.60208) (xy 108.142919 126.60207) + (xy 108.017589 126.505901) (xy 108.017585 126.505899) (xy 107.871631 126.445444) (xy 107.87163 126.445443) + (xy 107.754323 126.43) (xy 107.514 126.43) (xy 107.455809 126.411093) (xy 107.419845 126.361593) + (xy 107.415 126.331) (xy 107.415 126.205001) (xy 107.414999 126.205) (xy 106.065001 126.205) (xy 106.065 126.205001) + (xy 105.565 126.205001) (xy 105.564999 126.205) (xy 104.215002 126.205) (xy 104.215001 126.205001) + (xy 104.215001 126.414658) (xy 104.230571 126.532935) (xy 104.230572 126.532937) (xy 104.255291 126.592615) + (xy 104.260091 126.653612) (xy 104.228122 126.705781) (xy 104.171594 126.729195) (xy 104.163827 126.7295) + (xy 103.870139 126.7295) (xy 103.870136 126.729501) (xy 103.845009 126.732414) (xy 103.742235 126.777794) + (xy 103.662794 126.857235) (xy 103.617414 126.960011) (xy 103.6145 126.98513) (xy 103.6145 127.0555) + (xy 103.595593 127.113691) (xy 103.546093 127.149655) (xy 103.5155 127.1545) (xy 102.959748 127.1545) + (xy 102.901557 127.135593) (xy 102.871538 127.100445) (xy 102.869719 127.096875) (xy 102.869719 127.096874) + (xy 102.808528 126.97678) (xy 102.71322 126.881472) (xy 102.713217 126.88147) (xy 102.593132 126.820283) + (xy 102.593127 126.820281) (xy 102.593126 126.820281) (xy 102.559913 126.81502) (xy 102.49349 126.8045) + (xy 102.493488 126.8045) (xy 101.926512 126.8045) (xy 101.92651 126.8045) (xy 101.826874 126.820281) + (xy 101.826867 126.820283) (xy 101.706782 126.88147) (xy 101.70678 126.881472) (xy 101.611472 126.97678) + (xy 101.550281 127.096874) (xy 101.55028 127.096875) (xy 101.548462 127.100445) (xy 101.53207 127.116836) + (xy 101.518443 127.135593) (xy 101.510845 127.138061) (xy 101.505197 127.14371) (xy 101.460252 127.1545) + (xy 101.459748 127.1545) (xy 101.401557 127.135593) (xy 101.371538 127.100445) (xy 101.369719 127.096875) + (xy 101.369719 127.096874) (xy 101.308528 126.97678) (xy 101.21322 126.881472) (xy 101.213217 126.88147) + (xy 101.093132 126.820283) (xy 101.093127 126.820281) (xy 101.093126 126.820281) (xy 101.059913 126.81502) + (xy 100.99349 126.8045) (xy 100.993488 126.8045) (xy 100.426512 126.8045) (xy 100.42651 126.8045) + (xy 100.326874 126.820281) (xy 100.326867 126.820283) (xy 100.206782 126.88147) (xy 100.111471 126.976781) + (xy 100.056903 127.083876) (xy 100.013638 127.12714) (xy 99.953206 127.136711) (xy 99.89869 127.108933) + (xy 99.870913 127.054417) (xy 99.869719 127.046874) (xy 99.869717 127.04687) (xy 99.869716 127.046867) + (xy 99.808529 126.926782) (xy 99.808528 126.92678) (xy 99.71322 126.831472) (xy 99.699843 126.824656) + (xy 99.597496 126.772507) (xy 99.554232 126.729242) (xy 99.544661 126.66881) (xy 99.572439 126.614294) + (xy 99.603812 126.59507) (xy 99.603361 126.594184) (xy 99.637894 126.576588) (xy 99.723342 126.53305) + (xy 99.81305 126.443342) (xy 99.870646 126.330304) (xy 99.873226 126.314013) (xy 99.876852 126.306897) + (xy 99.876852 126.298907) (xy 99.89048 126.280148) (xy 99.901003 126.259497) (xy 99.908119 126.25587) + (xy 99.912816 126.249407) (xy 99.934865 126.242242) (xy 99.955519 126.231719) (xy 99.971007 126.2305) + (xy 99.97299 126.2305) (xy 100.031181 126.249407) (xy 100.061199 126.284555) (xy 100.105247 126.371003) + (xy 100.111472 126.38322) (xy 100.20678 126.478528) (xy 100.206782 126.478529) (xy 100.326867 126.539716) + (xy 100.326869 126.539716) (xy 100.326874 126.539719) (xy 100.402541 126.551703) (xy 100.42651 126.5555) + (xy 100.426512 126.5555) (xy 100.99349 126.5555) (xy 101.014885 126.552111) (xy 101.093126 126.539719) + (xy 101.21322 126.478528) (xy 101.308528 126.38322) (xy 101.369719 126.263126) (xy 101.369719 126.263124) + (xy 101.371538 126.259555) (xy 101.387929 126.243163) (xy 101.401557 126.224407) (xy 101.409154 126.221938) + (xy 101.414803 126.21629) (xy 101.459748 126.2055) (xy 101.460252 126.2055) (xy 101.518443 126.224407) + (xy 101.548462 126.259555) (xy 101.55028 126.263124) (xy 101.550281 126.263126) (xy 101.611472 126.38322) + (xy 101.70678 126.478528) (xy 101.706782 126.478529) (xy 101.826867 126.539716) (xy 101.826869 126.539716) + (xy 101.826874 126.539719) (xy 101.902541 126.551703) (xy 101.92651 126.5555) (xy 101.926512 126.5555) + (xy 102.49349 126.5555) (xy 102.514885 126.552111) (xy 102.593126 126.539719) (xy 102.71322 126.478528) + (xy 102.808528 126.38322) (xy 102.869719 126.263126) (xy 102.883601 126.175473) (xy 102.8855 126.163489) + (xy 102.8855 125.64651) (xy 102.878846 125.6045) (xy 102.869719 125.546874) (xy 102.869717 125.54687) + (xy 102.869717 125.546869) (xy 102.864713 125.537048) (xy 102.843463 125.495342) (xy 104.215 125.495342) + (xy 104.215 125.704999) (xy 104.215001 125.705) (xy 105.564999 125.705) (xy 105.565 125.704999) + (xy 105.565 124.930001) (xy 106.065 124.930001) (xy 106.065 125.704999) (xy 106.065001 125.705) + (xy 107.414998 125.705) (xy 107.414999 125.704999) (xy 107.414999 125.495346) (xy 107.414998 125.495341) + (xy 107.399428 125.377064) (xy 107.399427 125.377063) (xy 107.338468 125.229892) (xy 107.338466 125.229888) + (xy 107.241491 125.103509) (xy 107.24149 125.103508) (xy 107.115111 125.006533) (xy 107.115107 125.006531) + (xy 106.967941 124.945573) (xy 106.967933 124.945571) (xy 106.849657 124.93) (xy 106.065001 124.93) + (xy 106.065 124.930001) (xy 105.565 124.930001) (xy 105.564999 124.93) (xy 104.780346 124.93) (xy 104.780341 124.930001) + (xy 104.662064 124.945571) (xy 104.662063 124.945572) (xy 104.514892 125.006531) (xy 104.514888 125.006533) + (xy 104.388509 125.103508) (xy 104.388508 125.103509) (xy 104.291533 125.229888) (xy 104.291531 125.229892) + (xy 104.230573 125.377058) (xy 104.230571 125.377066) (xy 104.215 125.495342) (xy 102.843463 125.495342) + (xy 102.832286 125.473407) (xy 102.808528 125.42678) (xy 102.808526 125.426778) (xy 102.807334 125.424438) + (xy 102.797762 125.364006) (xy 102.825539 125.309489) (xy 102.843571 125.295231) (xy 102.912731 125.252572) + (xy 103.032574 125.132729) (xy 103.121545 124.988487) (xy 103.174856 124.827603) (xy 103.185 124.728323) + (xy 103.185 124.680001) (xy 103.184999 124.68) (xy 102.334 124.68) (xy 102.275809 124.661093) (xy 102.239845 124.611593) + (xy 102.235 124.581) (xy 102.235 124.279) (xy 102.253907 124.220809) (xy 102.303407 124.184845) + (xy 102.334 124.18) (xy 103.184998 124.18) (xy 103.184999 124.179999) (xy 103.184999 124.131677) + (xy 103.177785 124.061061) (xy 103.19068 124.00125) (xy 103.236269 123.960442) (xy 103.276272 123.952) + (xy 108.6205 123.952) (xy 108.678691 123.970907) (xy 108.714655 124.020407) (xy 108.7195 124.051) + (xy 108.7195 149.611678) (xy 108.700593 149.669869) (xy 108.690504 149.681682) (xy 106.501682 151.870504) + (xy 106.447165 151.898281) (xy 106.431678 151.8995) (xy 78.988322 151.8995) (xy 78.930131 151.880593) + (xy 78.918318 151.870504) (xy 76.729496 149.681682) (xy 76.701719 149.627165) (xy 76.7005 149.611678) + (xy 76.7005 146.450253) (xy 80.2295 146.450253) (xy 80.2295 148.189746) (xy 80.229501 148.189758) + (xy 80.241132 148.248227) (xy 80.241134 148.248233) (xy 80.285445 148.314548) (xy 80.285448 148.314552) + (xy 80.351769 148.358867) (xy 80.396231 148.367711) (xy 80.410241 148.370498) (xy 80.410246 148.370498) + (xy 80.410252 148.3705) (xy 80.410253 148.3705) (xy 82.149747 148.3705) (xy 82.149748 148.3705) + (xy 82.208231 148.358867) (xy 82.274552 148.314552) (xy 82.318867 148.248231) (xy 82.3305 148.189748) + (xy 82.3305 147.216532) (xy 82.7695 147.216532) (xy 82.7695 147.423467) (xy 82.809869 147.626418) + (xy 82.889058 147.817597) (xy 83.00402 147.989651) (xy 83.004023 147.989655) (xy 83.150345 148.135977) + (xy 83.322402 148.250941) (xy 83.51358 148.33013) (xy 83.716535 148.3705) (xy 83.716536 148.3705) + (xy 83.923464 148.3705) (xy 83.923465 148.3705) (xy 84.12642 148.33013) (xy 84.317598 148.250941) + (xy 84.489655 148.135977) (xy 84.635977 147.989655) (xy 84.750941 147.817598) (xy 84.83013 147.62642) + (xy 84.8705 147.423465) (xy 84.8705 147.216535) (xy 84.870499 147.216532) (xy 85.3095 147.216532) + (xy 85.3095 147.423467) (xy 85.349869 147.626418) (xy 85.429058 147.817597) (xy 85.54402 147.989651) + (xy 85.544023 147.989655) (xy 85.690345 148.135977) (xy 85.862402 148.250941) (xy 86.05358 148.33013) + (xy 86.256535 148.3705) (xy 86.256536 148.3705) (xy 86.463464 148.3705) (xy 86.463465 148.3705) + (xy 86.66642 148.33013) (xy 86.857598 148.250941) (xy 87.029655 148.135977) (xy 87.175977 147.989655) + (xy 87.290941 147.817598) (xy 87.37013 147.62642) (xy 87.4105 147.423465) (xy 87.4105 147.216535) + (xy 87.37013 147.01358) (xy 87.290941 146.822402) (xy 87.175977 146.650345) (xy 87.029655 146.504023) + (xy 86.949182 146.450253) (xy 86.857597 146.389058) (xy 86.666418 146.309869) (xy 86.463467 146.2695) + (xy 86.463465 146.2695) (xy 86.256535 146.2695) (xy 86.256532 146.2695) (xy 86.053581 146.309869) + (xy 85.862402 146.389058) (xy 85.690348 146.50402) (xy 85.54402 146.650348) (xy 85.429058 146.822402) + (xy 85.349869 147.013581) (xy 85.3095 147.216532) (xy 84.870499 147.216532) (xy 84.83013 147.01358) + (xy 84.750941 146.822402) (xy 84.635977 146.650345) (xy 84.489655 146.504023) (xy 84.409182 146.450253) + (xy 84.317597 146.389058) (xy 84.126418 146.309869) (xy 83.923467 146.2695) (xy 83.923465 146.2695) + (xy 83.716535 146.2695) (xy 83.716532 146.2695) (xy 83.513581 146.309869) (xy 83.322402 146.389058) + (xy 83.150348 146.50402) (xy 83.00402 146.650348) (xy 82.889058 146.822402) (xy 82.809869 147.013581) + (xy 82.7695 147.216532) (xy 82.3305 147.216532) (xy 82.3305 146.450252) (xy 82.318867 146.391769) + (xy 82.274552 146.325448) (xy 82.274548 146.325445) (xy 82.208233 146.281134) (xy 82.208231 146.281133) + (xy 82.208228 146.281132) (xy 82.208227 146.281132) (xy 82.149758 146.269501) (xy 82.149748 146.2695) + (xy 80.410252 146.2695) (xy 80.410251 146.2695) (xy 80.410241 146.269501) (xy 80.351772 146.281132) + (xy 80.351766 146.281134) (xy 80.285451 146.325445) (xy 80.285445 146.325451) (xy 80.241134 146.391766) + (xy 80.241132 146.391772) (xy 80.229501 146.450241) (xy 80.2295 146.450253) (xy 76.7005 146.450253) + (xy 76.7005 124.051) (xy 76.719407 123.992809) (xy 76.768907 123.956845) (xy 76.7995 123.952) (xy 96.643728 123.952) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.087682 135.11771) (xy 90.550504 135.580532) (xy 90.578281 135.635049) (xy 90.5795 135.650536) + (xy 90.5795 136.889672) (xy 90.597005 136.955) (xy 90.599979 136.966099) (xy 90.603962 136.972999) + (xy 90.603965 136.973003) (xy 90.607139 136.9785) (xy 90.63954 137.034621) (xy 90.687814 137.082895) + (xy 90.691833 137.088162) (xy 90.699879 137.111082) (xy 90.710908 137.132728) (xy 90.709841 137.13946) + (xy 90.7121 137.145893) (xy 90.705137 137.169164) (xy 90.701337 137.19316) (xy 90.696515 137.197981) + (xy 90.694562 137.204511) (xy 90.675253 137.219243) (xy 90.658072 137.236425) (xy 90.651337 137.237491) + (xy 90.645919 137.241626) (xy 90.621635 137.242195) (xy 90.59764 137.245996) (xy 90.493491 137.2295) + (xy 90.493488 137.2295) (xy 89.926512 137.2295) (xy 89.92651 137.2295) (xy 89.826874 137.245281) + (xy 89.826867 137.245283) (xy 89.706782 137.30647) (xy 89.70678 137.306472) (xy 89.611472 137.40178) + (xy 89.550281 137.521874) (xy 89.55028 137.521875) (xy 89.548462 137.525445) (xy 89.53207 137.541836) + (xy 89.518443 137.560593) (xy 89.510845 137.563061) (xy 89.505197 137.56871) (xy 89.460252 137.5795) + (xy 89.3095 137.5795) (xy 89.251309 137.560593) (xy 89.215345 137.511093) (xy 89.2105 137.4805) + (xy 89.2105 137.210253) (xy 89.210498 137.210241) (xy 89.202995 137.172521) (xy 89.198867 137.151769) + (xy 89.194941 137.145893) (xy 89.178184 137.120814) (xy 89.1615 137.065814) (xy 89.1615 135.481833) + (xy 89.180407 135.423642) (xy 89.229907 135.387678) (xy 89.286123 135.386206) (xy 89.286811 135.38639) + (xy 89.286814 135.386392) (xy 89.414108 135.4205) (xy 89.41411 135.4205) (xy 89.54589 135.4205) + (xy 89.545892 135.4205) (xy 89.673186 135.386392) (xy 89.673188 135.38639) (xy 89.67319 135.38639) + (xy 89.787309 135.320503) (xy 89.787309 135.320502) (xy 89.787314 135.3205) (xy 89.8805 135.227314) + (xy 89.931943 135.138212) (xy 89.977411 135.097273) (xy 90.038261 135.090877) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.605445 134.697066) (xy 86.64871 134.740331) (xy 86.6595 134.785276) (xy 86.6595 134.985387) + (xy 86.640593 135.043578) (xy 86.615502 135.067702) (xy 86.513458 135.135886) (xy 86.513454 135.135889) + (xy 86.415885 135.233458) (xy 86.339228 135.348182) (xy 86.339222 135.348193) (xy 86.28642 135.47567) + (xy 86.28642 135.475672) (xy 86.2595 135.611004) (xy 86.2595 135.748995) (xy 86.28642 135.884327) + (xy 86.28642 135.884329) (xy 86.339222 136.011806) (xy 86.339228 136.011817) (xy 86.415885 136.126541) + (xy 86.513458 136.224114) (xy 86.628182 136.300771) (xy 86.628193 136.300777) (xy 86.675283 136.320282) + (xy 86.755672 136.35358) (xy 86.891007 136.3805) (xy 86.891008 136.3805) (xy 87.028992 136.3805) + (xy 87.028993 136.3805) (xy 87.164328 136.35358) (xy 87.291811 136.300775) (xy 87.406542 136.224114) + (xy 87.504114 136.126542) (xy 87.580775 136.011811) (xy 87.63358 135.884328) (xy 87.6605 135.748993) + (xy 87.6605 135.611007) (xy 87.635837 135.487019) (xy 87.643029 135.42626) (xy 87.684561 135.38133) + (xy 87.707306 135.372082) (xy 87.803186 135.346392) (xy 87.917314 135.2805) (xy 87.990498 135.207315) + (xy 88.045013 135.17954) (xy 88.105445 135.189111) (xy 88.14871 135.232376) (xy 88.1595 135.277321) + (xy 88.1595 135.913521) (xy 88.140593 135.971712) (xy 88.130504 135.983525) (xy 87.514525 136.599504) + (xy 87.460008 136.627281) (xy 87.444521 136.6285) (xy 87.370438 136.6285) (xy 87.323661 136.641033) + (xy 87.294007 136.648979) (xy 87.225493 136.688536) (xy 87.225488 136.68854) (xy 86.969542 136.944486) + (xy 86.946585 136.984248) (xy 86.901114 137.025188) (xy 86.841537 137.031844) (xy 86.829756 137.0295) + (xy 86.829748 137.0295) (xy 85.390252 137.0295) (xy 85.390251 137.0295) (xy 85.390241 137.029501) + (xy 85.331772 137.041132) (xy 85.331766 137.041134) (xy 85.265451 137.085445) (xy 85.265445 137.085451) + (xy 85.221134 137.151766) (xy 85.221132 137.151772) (xy 85.212932 137.192994) (xy 85.183035 137.246377) + (xy 85.127469 137.271992) (xy 85.06746 137.260054) (xy 85.03715 137.230994) (xy 85.036146 137.231788) + (xy 85.032573 137.227269) (xy 84.912729 137.107425) (xy 84.768487 137.018454) (xy 84.607603 136.965143) + (xy 84.508323 136.955) (xy 84.489776 136.955) (xy 84.431585 136.936093) (xy 84.395621 136.886593) + (xy 84.395621 136.825407) (xy 84.41977 136.785998) (xy 86.490498 134.71527) (xy 86.545013 134.687495) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.050291 133.309418) (xy 86.082263 133.361585) (xy 86.085 133.384702) (xy 86.085 133.7685) + (xy 86.080155 133.783411) (xy 86.080155 133.799093) (xy 86.070938 133.811778) (xy 86.066093 133.826691) + (xy 86.053407 133.835907) (xy 86.044191 133.848593) (xy 86.029278 133.853438) (xy 86.016593 133.862655) + (xy 85.986 133.8675) (xy 85.96 133.8675) (xy 85.96 133.8935) (xy 85.941093 133.951691) (xy 85.891593 133.987655) + (xy 85.861 133.9925) (xy 85.335001 133.9925) (xy 85.335 133.992501) (xy 85.335 134.279371) (xy 85.349477 134.389338) + (xy 85.349479 134.389346) (xy 85.406155 134.526174) (xy 85.406155 134.526175) (xy 85.496315 134.643674) + (xy 85.49632 134.643679) (xy 85.528879 134.668662) (xy 85.563535 134.719087) (xy 85.561934 134.780251) + (xy 85.538616 134.817209) (xy 83.354504 137.001322) (xy 83.299987 137.029099) (xy 83.239555 137.019528) + (xy 83.19629 136.976263) (xy 83.1855 136.931318) (xy 83.1855 135.480478) (xy 83.204407 135.422287) + (xy 83.21449 135.41048) (xy 85.090475 133.534494) (xy 85.097592 133.530868) (xy 85.102288 133.524406) + (xy 85.124336 133.517242) (xy 85.144992 133.506718) (xy 85.160479 133.505499) (xy 85.236 133.505499) + (xy 85.294191 133.524406) (xy 85.330155 133.573906) (xy 85.335 133.604499) (xy 85.335 133.742499) + (xy 85.335001 133.7425) (xy 85.835 133.7425) (xy 85.835 133.465304) (xy 85.851685 133.410302) (xy 85.900658 133.337009) + (xy 85.902094 133.337968) (xy 85.934268 133.300294) (xy 85.993762 133.286007) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.578741 126.225202) (xy 86.59009 126.234951) (xy 86.630504 126.275365) (xy 86.658281 126.329882) + (xy 86.6595 126.345369) (xy 86.6595 127.955294) (xy 86.640593 128.013485) (xy 86.614902 128.03215) + (xy 86.585 128.058374) (xy 86.585 129.926625) (xy 86.669339 129.915522) (xy 86.669346 129.91552) + (xy 86.806174 129.858844) (xy 86.806175 129.858844) (xy 86.923674 129.768684) (xy 86.923683 129.768675) + (xy 87.003659 129.664448) (xy 87.047949 129.631829) (xy 87.055251 129.629136) (xy 87.125117 129.61524) + (xy 87.164748 129.588759) (xy 87.175748 129.584703) (xy 87.195173 129.583942) (xy 87.213886 129.578665) + (xy 87.22637 129.582721) (xy 87.236887 129.58231) (xy 87.248034 129.58976) (xy 87.265002 129.595274) + (xy 87.294879 129.615238) (xy 87.29488 129.615238) (xy 87.294883 129.61524) (xy 87.371599 129.6305) + (xy 87.5484 129.630499) (xy 87.625117 129.61524) (xy 87.654998 129.595273) (xy 87.713886 129.578665) + (xy 87.765002 129.595274) (xy 87.794879 129.615238) (xy 87.79488 129.615238) (xy 87.794883 129.61524) + (xy 87.871599 129.6305) (xy 88.0484 129.630499) (xy 88.125117 129.61524) (xy 88.154998 129.595273) + (xy 88.213886 129.578665) (xy 88.244252 129.584703) (xy 88.255251 129.588759) (xy 88.294883 129.61524) + (xy 88.364749 129.629137) (xy 88.372051 129.63183) (xy 88.390263 129.646183) (xy 88.410497 129.657515) + (xy 88.416341 129.664449) (xy 88.496315 129.768674) (xy 88.496325 129.768684) (xy 88.613825 129.858844) + (xy 88.750653 129.91552) (xy 88.75066 129.915522) (xy 88.834999 129.926625) (xy 88.835 129.926624) + (xy 88.835 129.0915) (xy 88.839845 129.076588) (xy 88.839845 129.060907) (xy 88.849061 129.048221) + (xy 88.853907 129.033309) (xy 88.866592 129.024092) (xy 88.875809 129.011407) (xy 88.890721 129.006561) + (xy 88.903407 128.997345) (xy 88.934 128.9925) (xy 88.986 128.9925) (xy 89.044191 129.011407) (xy 89.080155 129.060907) + (xy 89.085 129.0915) (xy 89.085 129.926624) (xy 89.10492 129.924002) (xy 89.165081 129.935152) (xy 89.207199 129.979534) + (xy 89.215996 130.035076) (xy 89.213373 130.054999) (xy 89.213374 130.055) (xy 91.081626 130.055) + (xy 91.096668 130.037846) (xy 91.097705 130.032258) (xy 91.142089 129.990142) (xy 91.184706 129.9805) + (xy 93.794521 129.9805) (xy 93.852712 129.999407) (xy 93.864525 130.009496) (xy 94.380504 130.525475) + (xy 94.408281 130.579992) (xy 94.4095 130.595479) (xy 94.4095 130.939521) (xy 94.390593 130.997712) + (xy 94.341093 131.033676) (xy 94.279907 131.033676) (xy 94.240497 131.009525) (xy 94.14451 130.913539) + (xy 94.075992 130.87398) (xy 94.075988 130.873978) (xy 93.999564 130.8535) (xy 93.999562 130.8535) + (xy 91.169016 130.8535) (xy 91.110825 130.834593) (xy 91.094584 130.819776) (xy 91.081626 130.805) + (xy 89.213374 130.805) (xy 89.224478 130.889342) (xy 89.281155 131.026174) (xy 89.281155 131.026175) + (xy 89.371315 131.143674) (xy 89.371325 131.143684) (xy 89.475551 131.223659) (xy 89.50817 131.267949) + (xy 89.510864 131.275257) (xy 89.52476 131.345117) (xy 89.55124 131.384747) (xy 89.555296 131.395747) + (xy 89.556056 131.415173) (xy 89.561334 131.433887) (xy 89.557277 131.446371) (xy 89.557689 131.456885) + (xy 89.550241 131.468028) (xy 89.544727 131.484999) (xy 89.524761 131.51488) (xy 89.524759 131.514886) + (xy 89.509501 131.591589) (xy 89.5095 131.591599) (xy 89.5095 131.768397) (xy 89.509501 131.768404) + (xy 89.524759 131.845115) (xy 89.524759 131.845116) (xy 89.52476 131.845117) (xy 89.544726 131.874999) + (xy 89.561334 131.933887) (xy 89.544727 131.984999) (xy 89.524761 132.01488) (xy 89.524759 132.014886) + (xy 89.509501 132.091589) (xy 89.5095 132.091599) (xy 89.5095 132.268397) (xy 89.509501 132.268404) + (xy 89.524759 132.345115) (xy 89.524759 132.345116) (xy 89.52476 132.345117) (xy 89.544726 132.374999) + (xy 89.561334 132.433887) (xy 89.544727 132.484999) (xy 89.524761 132.51488) (xy 89.524759 132.514886) + (xy 89.509501 132.591589) (xy 89.5095 132.591599) (xy 89.5095 132.768397) (xy 89.509501 132.768404) + (xy 89.524759 132.845115) (xy 89.524759 132.845116) (xy 89.52476 132.845117) (xy 89.544726 132.874999) + (xy 89.561334 132.933887) (xy 89.544727 132.984999) (xy 89.524761 133.01488) (xy 89.524759 133.014886) + (xy 89.509501 133.091588) (xy 89.5095 133.0916) (xy 89.5095 133.1305) (xy 89.490593 133.188691) + (xy 89.441093 133.224655) (xy 89.410502 133.2295) (xy 89.371603 133.2295) (xy 89.371595 133.229501) + (xy 89.294884 133.244759) (xy 89.265 133.264727) (xy 89.206111 133.281334) (xy 89.154999 133.264726) + (xy 89.125119 133.244761) (xy 89.125117 133.24476) (xy 89.125114 133.244759) (xy 89.125113 133.244759) + (xy 89.04841 133.229501) (xy 89.048402 133.2295) (xy 89.048401 133.2295) (xy 89.0484 133.2295) (xy 88.871602 133.2295) + (xy 88.871595 133.229501) (xy 88.794884 133.244759) (xy 88.765 133.264727) (xy 88.706111 133.281334) + (xy 88.675748 133.275296) (xy 88.664746 133.271239) (xy 88.625117 133.24476) (xy 88.555256 133.230863) + (xy 88.547949 133.228169) (xy 88.529734 133.213814) (xy 88.509502 133.202483) (xy 88.503659 133.195551) + (xy 88.42368 133.091321) (xy 88.423674 133.091315) (xy 88.306174 133.001155) (xy 88.169342 132.944478) + (xy 88.169342 132.944477) (xy 88.085 132.933373) (xy 88.085 133.7685) (xy 88.080155 133.783411) + (xy 88.080155 133.799093) (xy 88.070938 133.811778) (xy 88.066093 133.826691) (xy 88.053407 133.835907) + (xy 88.044191 133.848593) (xy 88.029278 133.853438) (xy 88.016593 133.862655) (xy 87.986 133.8675) + (xy 87.934 133.8675) (xy 87.875809 133.848593) (xy 87.839845 133.799093) (xy 87.835 133.7685) (xy 87.835 132.933374) + (xy 87.834999 132.933373) (xy 87.750657 132.944477) (xy 87.750657 132.944478) (xy 87.613825 133.001155) + (xy 87.613824 133.001155) (xy 87.496325 133.091315) (xy 87.496315 133.091325) (xy 87.416341 133.195551) + (xy 87.372051 133.22817) (xy 87.364742 133.230864) (xy 87.294883 133.24476) (xy 87.255253 133.271239) + (xy 87.244252 133.275296) (xy 87.224825 133.276056) (xy 87.206111 133.281334) (xy 87.193626 133.277277) + (xy 87.183113 133.277689) (xy 87.171968 133.270239) (xy 87.154999 133.264726) (xy 87.125119 133.244761) + (xy 87.125117 133.24476) (xy 87.125114 133.244759) (xy 87.125113 133.244759) (xy 87.04841 133.229501) + (xy 87.048402 133.2295) (xy 87.048401 133.2295) (xy 87.0484 133.2295) (xy 86.871602 133.2295) (xy 86.871595 133.229501) + (xy 86.794884 133.244759) (xy 86.765 133.264727) (xy 86.706111 133.281334) (xy 86.675748 133.275296) + (xy 86.664746 133.271239) (xy 86.625117 133.24476) (xy 86.555256 133.230863) (xy 86.547949 133.228169) + (xy 86.529734 133.213814) (xy 86.509502 133.202483) (xy 86.503659 133.195551) (xy 86.42368 133.091321) + (xy 86.423674 133.091315) (xy 86.306174 133.001155) (xy 86.169342 132.944478) (xy 86.169342 132.944477) + (xy 86.085 132.933373) (xy 86.085 132.975297) (xy 86.066093 133.033488) (xy 86.016593 133.069452) + (xy 85.955407 133.069452) (xy 85.905907 133.033488) (xy 85.902132 133.0279) (xy 85.89583 133.017853) + (xy 85.89524 133.014883) (xy 85.874483 132.983818) (xy 85.873721 132.982603) (xy 85.866604 132.954262) + (xy 85.858665 132.926114) (xy 85.859155 132.924602) (xy 85.858819 132.923261) (xy 85.862848 132.913237) + (xy 85.875274 132.874998) (xy 85.895238 132.84512) (xy 85.895238 132.845119) (xy 85.89524 132.845117) + (xy 85.9105 132.768401) (xy 85.910499 132.5916) (xy 85.89524 132.514883) (xy 85.875273 132.485001) + (xy 85.858665 132.426114) (xy 85.875274 132.374998) (xy 85.895238 132.34512) (xy 85.895238 132.345119) + (xy 85.89524 132.345117) (xy 85.9105 132.268401) (xy 85.910499 132.0916) (xy 85.89524 132.014883) + (xy 85.875273 131.985001) (xy 85.858665 131.926114) (xy 85.875274 131.874998) (xy 85.895238 131.84512) + (xy 85.895238 131.845119) (xy 85.89524 131.845117) (xy 85.9105 131.768401) (xy 85.910499 131.5916) + (xy 85.89524 131.514883) (xy 85.875273 131.485001) (xy 85.858665 131.426114) (xy 85.875274 131.374998) + (xy 85.895238 131.34512) (xy 85.895238 131.345119) (xy 85.89524 131.345117) (xy 85.9105 131.268401) + (xy 85.910499 131.0916) (xy 85.89524 131.014883) (xy 85.875273 130.985001) (xy 85.858665 130.926114) + (xy 85.875274 130.874998) (xy 85.895238 130.84512) (xy 85.895238 130.845119) (xy 85.89524 130.845117) + (xy 85.9105 130.768401) (xy 85.910499 130.5916) (xy 85.903219 130.554999) (xy 89.213373 130.554999) + (xy 89.213374 130.555) (xy 91.081626 130.555) (xy 91.081626 130.554999) (xy 91.070522 130.47066) + (xy 91.070521 130.470657) (xy 91.069377 130.467895) (xy 91.069208 130.465756) (xy 91.068842 130.464389) + (xy 91.069095 130.464321) (xy 91.06457 130.406898) (xy 91.069377 130.392105) (xy 91.070521 130.389342) + (xy 91.070522 130.389339) (xy 91.081626 130.305) (xy 89.214597 130.305) (xy 89.231943 130.414512) + (xy 89.2289 130.433722) (xy 89.230426 130.453115) (xy 89.225628 130.467882) (xy 89.224478 130.470656) + (xy 89.224477 130.470661) (xy 89.213373 130.554999) (xy 85.903219 130.554999) (xy 85.89524 130.514883) + (xy 85.875273 130.485001) (xy 85.858665 130.426114) (xy 85.863617 130.39885) (xy 85.86783 130.386137) + (xy 85.89524 130.345117) (xy 85.9105 130.268401) (xy 85.910499 130.0916) (xy 85.89524 130.014883) + (xy 85.88897 130.0055) (xy 85.875274 129.985002) (xy 85.868315 129.960329) (xy 85.858804 129.936525) + (xy 85.860166 129.931436) (xy 85.858665 129.926114) (xy 85.873835 129.877214) (xy 85.874525 129.876119) + (xy 85.89524 129.845117) (xy 85.895782 129.84239) (xy 85.90198 129.832557) (xy 85.919335 129.818107) + (xy 85.933996 129.800938) (xy 85.942376 129.798924) (xy 85.949002 129.793409) (xy 85.971533 129.79192) + (xy 85.993489 129.786647) (xy 86.001989 129.789909) (xy 86.010055 129.789377) (xy 86.024775 129.798654) + (xy 86.046001 129.806801) (xy 86.113825 129.858844) (xy 86.250653 129.91552) (xy 86.25066 129.915522) + (xy 86.334999 129.926625) (xy 86.335 129.926624) (xy 86.335 128.058374) (xy 86.318762 128.044134) + (xy 86.310256 128.042557) (xy 86.268141 127.998172) (xy 86.2585 127.955557) (xy 86.2585 127.913437) + (xy 86.258499 127.913435) (xy 86.256874 127.907372) (xy 86.238021 127.837011) (xy 86.230455 127.823907) + (xy 86.19846 127.768489) (xy 86.164496 127.734524) (xy 86.136719 127.680007) (xy 86.1355 127.664521) + (xy 86.1355 127.19651) (xy 86.128846 127.1545) (xy 86.119719 127.096874) (xy 86.119716 127.096869) + (xy 86.119716 127.096867) (xy 86.058529 126.976782) (xy 86.058528 126.97678) (xy 86.017215 126.935467) + (xy 85.98944 126.880953) (xy 85.999011 126.820521) (xy 86.035248 126.781205) (xy 86.162732 126.702571) + (xy 86.282574 126.582729) (xy 86.371545 126.438486) (xy 86.426111 126.273816) (xy 86.462362 126.224525) + (xy 86.520661 126.205957) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 94.640212 129.311907) (xy 94.652025 129.321996) (xy 95.505504 130.175475) (xy 95.533281 130.229992) + (xy 95.5345 130.245479) (xy 95.5345 130.713489) (xy 95.550281 130.813125) (xy 95.550283 130.813132) + (xy 95.612665 130.935562) (xy 95.622237 130.995994) (xy 95.59446 131.05051) (xy 95.57643 131.064767) + (xy 95.507268 131.107427) (xy 95.387425 131.22727) (xy 95.298454 131.371512) (xy 95.245143 131.532396) + (xy 95.235 131.631676) (xy 95.235 131.654999) (xy 95.235001 131.655) (xy 97.223993 131.655) (xy 97.282184 131.673907) + (xy 97.289318 131.68) (xy 97.586 131.68) (xy 97.644191 131.698907) (xy 97.680155 131.748407) (xy 97.685 131.779) + (xy 97.685 132.081) (xy 97.666093 132.139191) (xy 97.616593 132.175155) (xy 97.586 132.18) (xy 96.696007 132.18) + (xy 96.637816 132.161093) (xy 96.630682 132.155) (xy 95.375979 132.155) (xy 95.317788 132.136093) + (xy 95.305975 132.126004) (xy 95.039496 131.859525) (xy 95.011719 131.805008) (xy 95.0105 131.789521) + (xy 95.0105 130.390437) (xy 95.010499 130.390435) (xy 95.010205 130.389339) (xy 94.990021 130.314011) + (xy 94.95046 130.245489) (xy 94.934963 130.229992) (xy 94.894511 130.189539) (xy 94.894511 130.18954) + (xy 94.166972 129.462001) (xy 94.139197 129.407487) (xy 94.148768 129.347055) (xy 94.192033 129.30379) + (xy 94.236978 129.293) (xy 94.582021 129.293) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 84.768443 127.774407) (xy 84.798462 127.809555) (xy 84.80028 127.813124) (xy 84.800281 127.813126) + (xy 84.861472 127.93322) (xy 84.95678 128.028528) (xy 84.956782 128.028529) (xy 85.076867 128.089716) + (xy 85.076869 128.089716) (xy 85.076874 128.089719) (xy 85.152541 128.101703) (xy 85.17651 128.1055) + (xy 85.176512 128.1055) (xy 85.5585 128.1055) (xy 85.573412 128.110345) (xy 85.589093 128.110345) + (xy 85.601778 128.119561) (xy 85.616691 128.124407) (xy 85.625907 128.137092) (xy 85.638593 128.146309) + (xy 85.643438 128.161221) (xy 85.652655 128.173907) (xy 85.6575 128.2045) (xy 85.6575 128.307797) + (xy 85.638593 128.365988) (xy 85.589093 128.401952) (xy 85.543613 128.403149) (xy 85.543324 128.405347) + (xy 85.536892 128.4045) (xy 85.405108 128.4045) (xy 85.35973 128.416659) (xy 85.277809 128.438609) + (xy 85.16369 128.504496) (xy 85.070496 128.59769) (xy 85.004609 128.711809) (xy 84.985539 128.782978) + (xy 84.9705 128.839108) (xy 84.9705 128.970892) (xy 84.99122 129.048221) (xy 85.004609 129.09819) + (xy 85.066854 129.206) (xy 85.079576 129.265848) (xy 85.05469 129.321744) (xy 85.001702 129.352337) + (xy 84.981119 129.3545) (xy 84.871602 129.3545) (xy 84.871595 129.354501) (xy 84.794881 129.36976) + (xy 84.789561 129.371964) (xy 84.751676 129.3795) (xy 83.404125 129.3795) (xy 83.394064 129.376231) + (xy 83.383544 129.377337) (xy 83.36562 129.366989) (xy 83.345934 129.360593) (xy 83.339715 129.352033) + (xy 83.330555 129.346745) (xy 83.322137 129.32784) (xy 83.30997 129.311093) (xy 83.30997 129.300512) + (xy 83.305668 129.29085) (xy 83.30997 129.270607) (xy 83.30997 129.249907) (xy 83.318387 129.231002) + (xy 83.342339 129.189514) (xy 83.342341 129.189511) (xy 83.347832 129.18) (xy 83.360021 129.158889) + (xy 83.3805 129.082462) (xy 83.3805 128.151214) (xy 83.399407 128.093023) (xy 83.448907 128.057059) + (xy 83.510093 128.057059) (xy 83.524439 128.063002) (xy 83.576874 128.089719) (xy 83.652541 128.101703) + (xy 83.67651 128.1055) (xy 83.676512 128.1055) (xy 84.24349 128.1055) (xy 84.264885 128.102111) + (xy 84.343126 128.089719) (xy 84.46322 128.028528) (xy 84.558528 127.93322) (xy 84.619719 127.813126) + (xy 84.619719 127.813124) (xy 84.621538 127.809555) (xy 84.637929 127.793163) (xy 84.651557 127.774407) + (xy 84.659154 127.771938) (xy 84.664803 127.76629) (xy 84.709748 127.7555) (xy 84.710252 127.7555) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 84.169191 125.473407) (xy 84.205155 125.522907) (xy 84.21 125.5535) (xy 84.21 125.654999) (xy 84.210001 125.655) + (xy 85.209999 125.655) (xy 85.21 125.654999) (xy 85.21 125.5535) (xy 85.228907 125.495309) (xy 85.278407 125.459345) + (xy 85.309 125.4545) (xy 85.611 125.4545) (xy 85.669191 125.473407) (xy 85.705155 125.522907) (xy 85.71 125.5535) + (xy 85.71 125.806) (xy 85.691093 125.864191) (xy 85.641593 125.900155) (xy 85.611 125.905) (xy 85.460001 125.905) + (xy 85.46 125.905001) (xy 85.46 126.056) (xy 85.441093 126.114191) (xy 85.391593 126.150155) (xy 85.361 126.155) + (xy 84.059 126.155) (xy 84.000809 126.136093) (xy 83.964845 126.086593) (xy 83.96 126.056) (xy 83.96 125.905001) + (xy 83.959999 125.905) (xy 83.809 125.905) (xy 83.750809 125.886093) (xy 83.714845 125.836593) (xy 83.71 125.806) + (xy 83.71 125.5535) (xy 83.728907 125.495309) (xy 83.778407 125.459345) (xy 83.809 125.4545) (xy 84.111 125.4545) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 84.045978 133.954506) (xy 84.093528 133.993011) (xy 84.1095 134.04693) (xy 84.1095 134.456664) + (xy 84.129977 134.533085) (xy 84.129979 134.53309) (xy 84.152839 134.572685) (xy 84.15284 134.572686) + (xy 84.16954 134.601611) (xy 84.350505 134.782576) (xy 84.378281 134.837091) (xy 84.3795 134.852578) + (xy 84.3795 135.554521) (xy 84.360593 135.612712) (xy 84.350504 135.624525) (xy 83.635489 136.33954) + (xy 83.635488 136.339539) (xy 83.579539 136.395489) (xy 83.53998 136.464007) (xy 83.539978 136.464011) + (xy 83.5195 136.540435) (xy 83.5195 143.701267) (xy 83.500593 143.759458) (xy 83.458387 143.792731) + (xy 83.322401 143.849059) (xy 83.150348 143.96402) (xy 83.150345 143.964022) (xy 83.150345 143.964023) + (xy 83.019501 144.094866) (xy 82.964987 144.122642) (xy 82.904555 144.113071) (xy 82.86129 144.069806) + (xy 82.8505 144.024861) (xy 82.8505 134.905484) (xy 82.869407 134.847293) (xy 82.87949 134.835486) + (xy 83.705474 134.009501) (xy 83.759991 133.981725) (xy 83.775478 133.980506) (xy 83.87589 133.980506) + (xy 83.875892 133.980506) (xy 83.984879 133.951303) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 108.678691 123.970907) (xy 108.714655 124.020407) (xy 108.7195 124.051) (xy 108.7195 149.611678) + (xy 108.700593 149.669869) (xy 108.690504 149.681682) (xy 106.501682 151.870504) (xy 106.447165 151.898281) + (xy 106.431678 151.8995) (xy 78.988322 151.8995) (xy 78.930131 151.880593) (xy 78.918318 151.870504) + (xy 76.729496 149.681682) (xy 76.701719 149.627165) (xy 76.7005 149.611678) (xy 76.7005 144.676532) + (xy 80.2295 144.676532) (xy 80.2295 144.883467) (xy 80.269869 145.086418) (xy 80.349058 145.277597) + (xy 80.46402 145.449651) (xy 80.464023 145.449655) (xy 80.610345 145.595977) (xy 80.782402 145.710941) + (xy 80.97358 145.79013) (xy 81.176535 145.8305) (xy 81.176536 145.8305) (xy 81.383464 145.8305) + (xy 81.383465 145.8305) (xy 81.58642 145.79013) (xy 81.777598 145.710941) (xy 81.949655 145.595977) + (xy 82.080498 145.465133) (xy 82.135013 145.437358) (xy 82.195445 145.446929) (xy 82.23871 145.490194) + (xy 82.2495 145.535139) (xy 82.2495 145.884521) (xy 82.230593 145.942712) (xy 82.220504 145.954525) + (xy 81.934525 146.240504) (xy 81.880008 146.268281) (xy 81.864521 146.2695) (xy 80.410252 146.2695) + (xy 80.410251 146.2695) (xy 80.410241 146.269501) (xy 80.351772 146.281132) (xy 80.351766 146.281134) + (xy 80.285451 146.325445) (xy 80.285445 146.325451) (xy 80.241134 146.391766) (xy 80.241132 146.391772) + (xy 80.229501 146.450241) (xy 80.2295 146.450253) (xy 80.2295 148.189746) (xy 80.229501 148.189758) + (xy 80.241132 148.248227) (xy 80.241134 148.248233) (xy 80.285445 148.314548) (xy 80.285448 148.314552) + (xy 80.351769 148.358867) (xy 80.396231 148.367711) (xy 80.410241 148.370498) (xy 80.410246 148.370498) + (xy 80.410252 148.3705) (xy 80.410253 148.3705) (xy 82.149747 148.3705) (xy 82.149748 148.3705) + (xy 82.208231 148.358867) (xy 82.274552 148.314552) (xy 82.318867 148.248231) (xy 82.3305 148.189748) + (xy 82.3305 147.216532) (xy 82.7695 147.216532) (xy 82.7695 147.423467) (xy 82.809869 147.626418) + (xy 82.889058 147.817597) (xy 83.00402 147.989651) (xy 83.004023 147.989655) (xy 83.150345 148.135977) + (xy 83.322402 148.250941) (xy 83.51358 148.33013) (xy 83.716535 148.3705) (xy 83.716536 148.3705) + (xy 83.923464 148.3705) (xy 83.923465 148.3705) (xy 84.12642 148.33013) (xy 84.317598 148.250941) + (xy 84.489655 148.135977) (xy 84.635977 147.989655) (xy 84.750941 147.817598) (xy 84.83013 147.62642) + (xy 84.8705 147.423465) (xy 84.8705 147.216535) (xy 84.870499 147.216532) (xy 85.3095 147.216532) + (xy 85.3095 147.423467) (xy 85.349869 147.626418) (xy 85.429058 147.817597) (xy 85.54402 147.989651) + (xy 85.544023 147.989655) (xy 85.690345 148.135977) (xy 85.862402 148.250941) (xy 86.05358 148.33013) + (xy 86.256535 148.3705) (xy 86.256536 148.3705) (xy 86.463464 148.3705) (xy 86.463465 148.3705) + (xy 86.66642 148.33013) (xy 86.857598 148.250941) (xy 87.029655 148.135977) (xy 87.175977 147.989655) + (xy 87.290941 147.817598) (xy 87.37013 147.62642) (xy 87.4105 147.423465) (xy 87.4105 147.216535) + (xy 87.410499 147.216532) (xy 87.8495 147.216532) (xy 87.8495 147.423467) (xy 87.889869 147.626418) + (xy 87.969058 147.817597) (xy 88.08402 147.989651) (xy 88.084023 147.989655) (xy 88.230345 148.135977) + (xy 88.402402 148.250941) (xy 88.59358 148.33013) (xy 88.796535 148.3705) (xy 88.796536 148.3705) + (xy 89.003464 148.3705) (xy 89.003465 148.3705) (xy 89.20642 148.33013) (xy 89.397598 148.250941) + (xy 89.569655 148.135977) (xy 89.715977 147.989655) (xy 89.830941 147.817598) (xy 89.91013 147.62642) + (xy 89.9505 147.423465) (xy 89.9505 147.216535) (xy 89.950499 147.216532) (xy 90.3895 147.216532) + (xy 90.3895 147.423467) (xy 90.429869 147.626418) (xy 90.509058 147.817597) (xy 90.62402 147.989651) + (xy 90.624023 147.989655) (xy 90.770345 148.135977) (xy 90.942402 148.250941) (xy 91.13358 148.33013) + (xy 91.336535 148.3705) (xy 91.336536 148.3705) (xy 91.543464 148.3705) (xy 91.543465 148.3705) + (xy 91.74642 148.33013) (xy 91.937598 148.250941) (xy 92.109655 148.135977) (xy 92.255977 147.989655) + (xy 92.370941 147.817598) (xy 92.45013 147.62642) (xy 92.4905 147.423465) (xy 92.4905 147.216535) + (xy 92.490499 147.216532) (xy 92.9295 147.216532) (xy 92.9295 147.423467) (xy 92.969869 147.626418) + (xy 93.049058 147.817597) (xy 93.16402 147.989651) (xy 93.164023 147.989655) (xy 93.310345 148.135977) + (xy 93.482402 148.250941) (xy 93.67358 148.33013) (xy 93.876535 148.3705) (xy 93.876536 148.3705) + (xy 94.083464 148.3705) (xy 94.083465 148.3705) (xy 94.28642 148.33013) (xy 94.477598 148.250941) + (xy 94.649655 148.135977) (xy 94.795977 147.989655) (xy 94.910941 147.817598) (xy 94.99013 147.62642) + (xy 95.0305 147.423465) (xy 95.0305 147.216535) (xy 95.030499 147.216532) (xy 95.4695 147.216532) + (xy 95.4695 147.423467) (xy 95.509869 147.626418) (xy 95.589058 147.817597) (xy 95.70402 147.989651) + (xy 95.704023 147.989655) (xy 95.850345 148.135977) (xy 96.022402 148.250941) (xy 96.21358 148.33013) + (xy 96.416535 148.3705) (xy 96.416536 148.3705) (xy 96.623464 148.3705) (xy 96.623465 148.3705) + (xy 96.82642 148.33013) (xy 97.017598 148.250941) (xy 97.189655 148.135977) (xy 97.335977 147.989655) + (xy 97.450941 147.817598) (xy 97.53013 147.62642) (xy 97.5705 147.423465) (xy 97.5705 147.216535) + (xy 97.570499 147.216532) (xy 98.0095 147.216532) (xy 98.0095 147.423467) (xy 98.049869 147.626418) + (xy 98.129058 147.817597) (xy 98.24402 147.989651) (xy 98.244023 147.989655) (xy 98.390345 148.135977) + (xy 98.562402 148.250941) (xy 98.75358 148.33013) (xy 98.956535 148.3705) (xy 98.956536 148.3705) + (xy 99.163464 148.3705) (xy 99.163465 148.3705) (xy 99.36642 148.33013) (xy 99.557598 148.250941) + (xy 99.729655 148.135977) (xy 99.875977 147.989655) (xy 99.990941 147.817598) (xy 100.07013 147.62642) + (xy 100.079762 147.577996) (xy 100.109656 147.524613) (xy 100.165221 147.498996) (xy 100.225231 147.510932) + (xy 100.266764 147.555861) (xy 100.27464 147.581822) (xy 100.283241 147.636122) (xy 100.348904 147.838215) + (xy 100.445375 148.027552) (xy 100.570277 148.199464) (xy 100.720535 148.349722) (xy 100.892447 148.474624) + (xy 101.081784 148.571095) (xy 101.283877 148.636758) (xy 101.349999 148.647231) (xy 101.35 148.64723) + (xy 101.35 147.753012) (xy 101.407007 147.785925) (xy 101.534174 147.82) (xy 101.665826 147.82) + (xy 101.792993 147.785925) (xy 101.85 147.753012) (xy 101.85 148.647231) (xy 101.916122 148.636758) + (xy 102.118215 148.571095) (xy 102.307552 148.474624) (xy 102.479464 148.349722) (xy 102.629722 148.199464) + (xy 102.754624 148.027552) (xy 102.851095 147.838215) (xy 102.916759 147.636121) (xy 102.925359 147.581823) + (xy 102.953136 147.527307) (xy 103.007653 147.499529) (xy 103.068085 147.5091) (xy 103.111349 147.552365) + (xy 103.120238 147.577996) (xy 103.129869 147.626418) (xy 103.209058 147.817597) (xy 103.32402 147.989651) + (xy 103.324023 147.989655) (xy 103.470345 148.135977) (xy 103.642402 148.250941) (xy 103.83358 148.33013) + (xy 104.036535 148.3705) (xy 104.036536 148.3705) (xy 104.243464 148.3705) (xy 104.243465 148.3705) + (xy 104.44642 148.33013) (xy 104.637598 148.250941) (xy 104.809655 148.135977) (xy 104.955977 147.989655) + (xy 105.070941 147.817598) (xy 105.15013 147.62642) (xy 105.1905 147.423465) (xy 105.1905 147.216535) + (xy 105.15013 147.01358) (xy 105.070941 146.822402) (xy 104.955977 146.650345) (xy 104.809655 146.504023) + (xy 104.729182 146.450253) (xy 104.637597 146.389058) (xy 104.446418 146.309869) (xy 104.243467 146.2695) + (xy 104.243465 146.2695) (xy 104.036535 146.2695) (xy 104.036532 146.2695) (xy 103.833581 146.309869) + (xy 103.642402 146.389058) (xy 103.470348 146.50402) (xy 103.32402 146.650348) (xy 103.209058 146.822402) + (xy 103.129869 147.013581) (xy 103.120238 147.062003) (xy 103.090341 147.115387) (xy 103.034776 147.141003) + (xy 102.974766 147.129066) (xy 102.933234 147.084136) (xy 102.925359 147.058176) (xy 102.916759 147.003878) + (xy 102.851095 146.801784) (xy 102.754624 146.612447) (xy 102.629722 146.440535) (xy 102.479464 146.290277) + (xy 102.307552 146.165375) (xy 102.118215 146.068904) (xy 101.916122 146.003241) (xy 101.861822 145.99464) + (xy 101.807306 145.966862) (xy 101.779529 145.912345) (xy 101.789101 145.851913) (xy 101.832366 145.808649) + (xy 101.857993 145.799762) (xy 101.90642 145.79013) (xy 102.097598 145.710941) (xy 102.269655 145.595977) + (xy 102.415977 145.449655) (xy 102.530941 145.277598) (xy 102.61013 145.08642) (xy 102.6505 144.883465) + (xy 102.6505 144.676535) (xy 102.650499 144.676532) (xy 103.0895 144.676532) (xy 103.0895 144.883467) + (xy 103.129869 145.086418) (xy 103.209058 145.277597) (xy 103.32402 145.449651) (xy 103.324023 145.449655) + (xy 103.470345 145.595977) (xy 103.642402 145.710941) (xy 103.83358 145.79013) (xy 104.036535 145.8305) + (xy 104.036536 145.8305) (xy 104.243464 145.8305) (xy 104.243465 145.8305) (xy 104.44642 145.79013) + (xy 104.637598 145.710941) (xy 104.809655 145.595977) (xy 104.955977 145.449655) (xy 105.070941 145.277598) + (xy 105.15013 145.08642) (xy 105.1905 144.883465) (xy 105.1905 144.676535) (xy 105.15013 144.47358) + (xy 105.070941 144.282402) (xy 104.955977 144.110345) (xy 104.809655 143.964023) (xy 104.667303 143.868907) + (xy 104.637597 143.849058) (xy 104.446418 143.769869) (xy 104.243467 143.7295) (xy 104.243465 143.7295) + (xy 104.036535 143.7295) (xy 104.036532 143.7295) (xy 103.833581 143.769869) (xy 103.642402 143.849058) + (xy 103.470348 143.96402) (xy 103.32402 144.110348) (xy 103.209058 144.282402) (xy 103.129869 144.473581) + (xy 103.0895 144.676532) (xy 102.650499 144.676532) (xy 102.61013 144.47358) (xy 102.530941 144.282402) + (xy 102.415977 144.110345) (xy 102.269655 143.964023) (xy 102.127303 143.868907) (xy 102.097597 143.849058) + (xy 101.906418 143.769869) (xy 101.703467 143.7295) (xy 101.703465 143.7295) (xy 101.496535 143.7295) + (xy 101.496532 143.7295) (xy 101.293581 143.769869) (xy 101.102402 143.849058) (xy 100.930348 143.96402) + (xy 100.78402 144.110348) (xy 100.669058 144.282402) (xy 100.589869 144.473581) (xy 100.5495 144.676532) + (xy 100.5495 144.883467) (xy 100.589869 145.086418) (xy 100.669058 145.277597) (xy 100.78402 145.449651) + (xy 100.784023 145.449655) (xy 100.930345 145.595977) (xy 101.102402 145.710941) (xy 101.29358 145.79013) + (xy 101.342003 145.799762) (xy 101.395386 145.829657) (xy 101.421003 145.885221) (xy 101.409067 145.945231) + (xy 101.364138 145.986765) (xy 101.338177 145.99464) (xy 101.283877 146.003241) (xy 101.081784 146.068904) + (xy 100.892447 146.165375) (xy 100.720535 146.290277) (xy 100.570277 146.440535) (xy 100.445375 146.612447) + (xy 100.348904 146.801784) (xy 100.283241 147.003877) (xy 100.27464 147.058177) (xy 100.246862 147.112694) + (xy 100.192345 147.14047) (xy 100.131913 147.130898) (xy 100.088649 147.087633) (xy 100.079762 147.062006) + (xy 100.07013 147.01358) (xy 99.990941 146.822402) (xy 99.875977 146.650345) (xy 99.729655 146.504023) + (xy 99.649182 146.450253) (xy 99.557597 146.389058) (xy 99.366418 146.309869) (xy 99.163467 146.2695) + (xy 99.163465 146.2695) (xy 98.956535 146.2695) (xy 98.956532 146.2695) (xy 98.753581 146.309869) + (xy 98.562402 146.389058) (xy 98.390348 146.50402) (xy 98.24402 146.650348) (xy 98.129058 146.822402) + (xy 98.049869 147.013581) (xy 98.0095 147.216532) (xy 97.570499 147.216532) (xy 97.53013 147.01358) + (xy 97.450941 146.822402) (xy 97.335977 146.650345) (xy 97.189655 146.504023) (xy 97.109182 146.450253) + (xy 97.017597 146.389058) (xy 96.826418 146.309869) (xy 96.623467 146.2695) (xy 96.623465 146.2695) + (xy 96.416535 146.2695) (xy 96.416532 146.2695) (xy 96.213581 146.309869) (xy 96.022402 146.389058) + (xy 95.850348 146.50402) (xy 95.70402 146.650348) (xy 95.589058 146.822402) (xy 95.509869 147.013581) + (xy 95.4695 147.216532) (xy 95.030499 147.216532) (xy 94.99013 147.01358) (xy 94.910941 146.822402) + (xy 94.795977 146.650345) (xy 94.649655 146.504023) (xy 94.569182 146.450253) (xy 94.477597 146.389058) + (xy 94.286418 146.309869) (xy 94.083467 146.2695) (xy 94.083465 146.2695) (xy 93.876535 146.2695) + (xy 93.876532 146.2695) (xy 93.673581 146.309869) (xy 93.482402 146.389058) (xy 93.310348 146.50402) + (xy 93.16402 146.650348) (xy 93.049058 146.822402) (xy 92.969869 147.013581) (xy 92.9295 147.216532) + (xy 92.490499 147.216532) (xy 92.45013 147.01358) (xy 92.370941 146.822402) (xy 92.255977 146.650345) + (xy 92.109655 146.504023) (xy 92.029182 146.450253) (xy 91.937597 146.389058) (xy 91.746418 146.309869) + (xy 91.543467 146.2695) (xy 91.543465 146.2695) (xy 91.336535 146.2695) (xy 91.336532 146.2695) + (xy 91.133581 146.309869) (xy 90.942402 146.389058) (xy 90.770348 146.50402) (xy 90.62402 146.650348) + (xy 90.509058 146.822402) (xy 90.429869 147.013581) (xy 90.3895 147.216532) (xy 89.950499 147.216532) + (xy 89.91013 147.01358) (xy 89.830941 146.822402) (xy 89.715977 146.650345) (xy 89.569655 146.504023) + (xy 89.489182 146.450253) (xy 89.397597 146.389058) (xy 89.206418 146.309869) (xy 89.003467 146.2695) + (xy 89.003465 146.2695) (xy 88.796535 146.2695) (xy 88.796532 146.2695) (xy 88.593581 146.309869) + (xy 88.402402 146.389058) (xy 88.230348 146.50402) (xy 88.08402 146.650348) (xy 87.969058 146.822402) + (xy 87.889869 147.013581) (xy 87.8495 147.216532) (xy 87.410499 147.216532) (xy 87.37013 147.01358) + (xy 87.290941 146.822402) (xy 87.175977 146.650345) (xy 87.029655 146.504023) (xy 86.949182 146.450253) + (xy 86.857597 146.389058) (xy 86.666418 146.309869) (xy 86.463467 146.2695) (xy 86.463465 146.2695) + (xy 86.256535 146.2695) (xy 86.256532 146.2695) (xy 86.053581 146.309869) (xy 85.862402 146.389058) + (xy 85.690348 146.50402) (xy 85.54402 146.650348) (xy 85.429058 146.822402) (xy 85.349869 147.013581) + (xy 85.3095 147.216532) (xy 84.870499 147.216532) (xy 84.83013 147.01358) (xy 84.750941 146.822402) + (xy 84.635977 146.650345) (xy 84.489655 146.504023) (xy 84.409182 146.450253) (xy 84.317597 146.389058) + (xy 84.126418 146.309869) (xy 83.923467 146.2695) (xy 83.923465 146.2695) (xy 83.716535 146.2695) + (xy 83.716532 146.2695) (xy 83.513581 146.309869) (xy 83.322402 146.389058) (xy 83.150348 146.50402) + (xy 83.00402 146.650348) (xy 82.889058 146.822402) (xy 82.809869 147.013581) (xy 82.7695 147.216532) + (xy 82.3305 147.216532) (xy 82.3305 146.735478) (xy 82.349407 146.677287) (xy 82.35949 146.66548) + (xy 82.79046 146.234511) (xy 82.830021 146.165989) (xy 82.8505 146.089562) (xy 82.8505 145.535139) + (xy 82.869407 145.476948) (xy 82.918907 145.440984) (xy 82.980093 145.440984) (xy 83.019501 145.465133) + (xy 83.150345 145.595977) (xy 83.322402 145.710941) (xy 83.51358 145.79013) (xy 83.716535 145.8305) + (xy 83.716536 145.8305) (xy 83.923464 145.8305) (xy 83.923465 145.8305) (xy 84.12642 145.79013) + (xy 84.317598 145.710941) (xy 84.489655 145.595977) (xy 84.635977 145.449655) (xy 84.750941 145.277598) + (xy 84.83013 145.08642) (xy 84.8705 144.883465) (xy 84.8705 144.676535) (xy 84.870499 144.676532) + (xy 85.3095 144.676532) (xy 85.3095 144.883467) (xy 85.349869 145.086418) (xy 85.429058 145.277597) + (xy 85.54402 145.449651) (xy 85.544023 145.449655) (xy 85.690345 145.595977) (xy 85.862402 145.710941) + (xy 86.05358 145.79013) (xy 86.256535 145.8305) (xy 86.256536 145.8305) (xy 86.463464 145.8305) + (xy 86.463465 145.8305) (xy 86.66642 145.79013) (xy 86.857598 145.710941) (xy 87.029655 145.595977) + (xy 87.175977 145.449655) (xy 87.290941 145.277598) (xy 87.37013 145.08642) (xy 87.4105 144.883465) + (xy 87.4105 144.676535) (xy 87.37013 144.47358) (xy 87.290941 144.282402) (xy 87.175977 144.110345) + (xy 87.029655 143.964023) (xy 86.887303 143.868907) (xy 86.857597 143.849058) (xy 86.666418 143.769869) + (xy 86.463467 143.7295) (xy 86.463465 143.7295) (xy 86.256535 143.7295) (xy 86.256532 143.7295) + (xy 86.053581 143.769869) (xy 85.862402 143.849058) (xy 85.690348 143.96402) (xy 85.54402 144.110348) + (xy 85.429058 144.282402) (xy 85.349869 144.473581) (xy 85.3095 144.676532) (xy 84.870499 144.676532) + (xy 84.83013 144.47358) (xy 84.750941 144.282402) (xy 84.635977 144.110345) (xy 84.489655 143.964023) + (xy 84.317598 143.849059) (xy 84.273993 143.830997) (xy 84.181613 143.792731) (xy 84.135088 143.752994) + (xy 84.1205 143.701267) (xy 84.1205 136.745479) (xy 84.122968 136.737881) (xy 84.121719 136.729992) + (xy 84.132243 136.709336) (xy 84.139407 136.687288) (xy 84.149496 136.675475) (xy 84.210496 136.614475) + (xy 84.265013 136.586698) (xy 84.325445 136.596269) (xy 84.36871 136.639534) (xy 84.3795 136.684479) + (xy 84.3795 138.859564) (xy 84.399978 138.935988) (xy 84.39998 138.935992) (xy 84.439538 139.004508) + (xy 84.43954 139.004511) (xy 86.755529 141.3205) (xy 88.995525 143.560496) (xy 89.023302 143.615013) + (xy 89.013731 143.675445) (xy 88.970466 143.71871) (xy 88.925521 143.7295) (xy 88.796532 143.7295) + (xy 88.593581 143.769869) (xy 88.402402 143.849058) (xy 88.230348 143.96402) (xy 88.08402 144.110348) + (xy 87.969058 144.282402) (xy 87.889869 144.473581) (xy 87.8495 144.676532) (xy 87.8495 144.883467) + (xy 87.889869 145.086418) (xy 87.969058 145.277597) (xy 88.08402 145.449651) (xy 88.084023 145.449655) + (xy 88.230345 145.595977) (xy 88.402402 145.710941) (xy 88.59358 145.79013) (xy 88.796535 145.8305) + (xy 88.796536 145.8305) (xy 89.003464 145.8305) (xy 89.003465 145.8305) (xy 89.20642 145.79013) + (xy 89.397598 145.710941) (xy 89.569655 145.595977) (xy 89.715977 145.449655) (xy 89.830941 145.277598) + (xy 89.91013 145.08642) (xy 89.9505 144.883465) (xy 89.9505 144.676535) (xy 89.91013 144.47358) + (xy 89.830941 144.282402) (xy 89.715977 144.110345) (xy 89.575133 143.969501) (xy 89.547358 143.914987) + (xy 89.556929 143.854555) (xy 89.600194 143.81129) (xy 89.645139 143.8005) (xy 90.694861 143.8005) + (xy 90.753052 143.819407) (xy 90.789016 143.868907) (xy 90.789016 143.930093) (xy 90.764866 143.969501) + (xy 90.664562 144.069806) (xy 90.62402 144.110348) (xy 90.509058 144.282402) (xy 90.429869 144.473581) + (xy 90.3895 144.676532) (xy 90.3895 144.883467) (xy 90.429869 145.086418) (xy 90.509058 145.277597) + (xy 90.62402 145.449651) (xy 90.624023 145.449655) (xy 90.770345 145.595977) (xy 90.942402 145.710941) + (xy 91.13358 145.79013) (xy 91.336535 145.8305) (xy 91.336536 145.8305) (xy 91.543464 145.8305) + (xy 91.543465 145.8305) (xy 91.74642 145.79013) (xy 91.937598 145.710941) (xy 92.109655 145.595977) + (xy 92.255977 145.449655) (xy 92.370941 145.277598) (xy 92.45013 145.08642) (xy 92.4905 144.883465) + (xy 92.4905 144.676535) (xy 92.45013 144.47358) (xy 92.370941 144.282402) (xy 92.255977 144.110345) + (xy 92.115133 143.969501) (xy 92.087358 143.914987) (xy 92.096929 143.854555) (xy 92.140194 143.81129) + (xy 92.185139 143.8005) (xy 92.534521 143.8005) (xy 92.592712 143.819407) (xy 92.604525 143.829496) + (xy 93.004736 144.229707) (xy 93.032513 144.284224) (xy 93.026196 144.337596) (xy 92.96987 144.473578) + (xy 92.96987 144.47358) (xy 92.9295 144.676532) (xy 92.9295 144.883467) (xy 92.969869 145.086418) + (xy 93.049058 145.277597) (xy 93.16402 145.449651) (xy 93.164023 145.449655) (xy 93.310345 145.595977) + (xy 93.482402 145.710941) (xy 93.67358 145.79013) (xy 93.876535 145.8305) (xy 93.876536 145.8305) + (xy 94.083464 145.8305) (xy 94.083465 145.8305) (xy 94.28642 145.79013) (xy 94.477598 145.710941) + (xy 94.649655 145.595977) (xy 94.795977 145.449655) (xy 94.910941 145.277598) (xy 94.99013 145.08642) + (xy 95.0305 144.883465) (xy 95.0305 144.676535) (xy 95.030499 144.676532) (xy 95.4695 144.676532) + (xy 95.4695 144.883467) (xy 95.509869 145.086418) (xy 95.589058 145.277597) (xy 95.70402 145.449651) + (xy 95.704023 145.449655) (xy 95.850345 145.595977) (xy 96.022402 145.710941) (xy 96.21358 145.79013) + (xy 96.416535 145.8305) (xy 96.416536 145.8305) (xy 96.623464 145.8305) (xy 96.623465 145.8305) + (xy 96.82642 145.79013) (xy 97.017598 145.710941) (xy 97.189655 145.595977) (xy 97.335977 145.449655) + (xy 97.450941 145.277598) (xy 97.53013 145.08642) (xy 97.5705 144.883465) (xy 97.5705 144.676535) + (xy 97.53013 144.47358) (xy 97.450941 144.282402) (xy 97.335977 144.110345) (xy 97.189655 143.964023) + (xy 97.047303 143.868907) (xy 97.017597 143.849058) (xy 96.826418 143.769869) (xy 96.623467 143.7295) + (xy 96.623465 143.7295) (xy 96.416535 143.7295) (xy 96.416532 143.7295) (xy 96.213581 143.769869) + (xy 96.022402 143.849058) (xy 95.850348 143.96402) (xy 95.70402 144.110348) (xy 95.589058 144.282402) + (xy 95.509869 144.473581) (xy 95.4695 144.676532) (xy 95.030499 144.676532) (xy 94.99013 144.47358) + (xy 94.910941 144.282402) (xy 94.795977 144.110345) (xy 94.649655 143.964023) (xy 94.507303 143.868907) + (xy 94.477597 143.849058) (xy 94.286418 143.769869) (xy 94.083467 143.7295) (xy 94.083465 143.7295) + (xy 93.876535 143.7295) (xy 93.876532 143.7295) (xy 93.67358 143.76987) (xy 93.673578 143.76987) + (xy 93.537596 143.826196) (xy 93.4766 143.830997) (xy 93.429707 143.804736) (xy 93.185467 143.560496) + (xy 92.884511 143.25954) (xy 92.884508 143.259538) (xy 92.815992 143.21998) (xy 92.815988 143.219978) + (xy 92.739564 143.1995) (xy 92.739562 143.1995) (xy 89.525479 143.1995) (xy 89.467288 143.180593) + (xy 89.455475 143.170504) (xy 85.009496 138.724525) (xy 84.981719 138.670008) (xy 84.9805 138.654521) + (xy 84.9805 136.535535) (xy 84.999407 136.477344) (xy 85.00949 136.465537) (xy 85.32046 136.154568) + (xy 85.357717 136.090036) (xy 85.360021 136.086046) (xy 85.3805 136.009619) (xy 85.3805 135.939745) + (xy 85.381348 135.926817) (xy 85.3815 135.925663) (xy 85.3815 134.814108) (xy 87.1095 134.814108) + (xy 87.1095 134.945892) (xy 87.120218 134.985892) (xy 87.143609 135.07319) (xy 87.209496 135.187309) + (xy 87.209498 135.187311) (xy 87.2095 135.187314) (xy 87.280505 135.258319) (xy 87.308281 135.312834) + (xy 87.3095 135.328321) (xy 87.3095 135.489564) (xy 87.329978 135.565988) (xy 87.329979 135.565989) + (xy 87.353125 135.606079) (xy 87.363774 135.624525) (xy 87.36954 135.634511) (xy 89.695489 137.96046) + (xy 89.695491 137.960461) (xy 89.695493 137.960463) (xy 89.764008 138.00002) (xy 89.764006 138.00002) + (xy 89.76401 138.000021) (xy 89.764012 138.000022) (xy 89.840438 138.0205) (xy 91.834521 138.0205) + (xy 91.892712 138.039407) (xy 91.904525 138.049496) (xy 98.084736 144.229707) (xy 98.112513 144.284224) + (xy 98.106196 144.337596) (xy 98.04987 144.473578) (xy 98.04987 144.47358) (xy 98.0095 144.676532) + (xy 98.0095 144.883467) (xy 98.049869 145.086418) (xy 98.129058 145.277597) (xy 98.24402 145.449651) + (xy 98.244023 145.449655) (xy 98.390345 145.595977) (xy 98.562402 145.710941) (xy 98.75358 145.79013) + (xy 98.956535 145.8305) (xy 98.956536 145.8305) (xy 99.163464 145.8305) (xy 99.163465 145.8305) + (xy 99.36642 145.79013) (xy 99.557598 145.710941) (xy 99.729655 145.595977) (xy 99.875977 145.449655) + (xy 99.990941 145.277598) (xy 100.07013 145.08642) (xy 100.1105 144.883465) (xy 100.1105 144.676535) + (xy 100.07013 144.47358) (xy 99.990941 144.282402) (xy 99.875977 144.110345) (xy 99.729655 143.964023) + (xy 99.587303 143.868907) (xy 99.557597 143.849058) (xy 99.366418 143.769869) (xy 99.163467 143.7295) + (xy 99.163465 143.7295) (xy 98.956535 143.7295) (xy 98.956532 143.7295) (xy 98.75358 143.76987) + (xy 98.753578 143.76987) (xy 98.617596 143.826196) (xy 98.5566 143.830997) (xy 98.509707 143.804736) + (xy 95.43178 140.726809) (xy 92.184511 137.47954) (xy 92.184508 137.479538) (xy 92.115992 137.43998) + (xy 92.115988 137.439978) (xy 92.039564 137.4195) (xy 92.039562 137.4195) (xy 90.045479 137.4195) + (xy 89.987288 137.400593) (xy 89.975475 137.390504) (xy 87.961396 135.376425) (xy 87.933619 135.321908) + (xy 87.94319 135.261476) (xy 87.961393 135.23642) (xy 88.0105 135.187314) (xy 88.076392 135.073186) + (xy 88.1105 134.945892) (xy 88.1105 134.814108) (xy 88.076392 134.686814) (xy 88.07639 134.686811) + (xy 88.07639 134.686809) (xy 88.010503 134.57269) (xy 88.010501 134.572688) (xy 88.0105 134.572686) + (xy 87.917314 134.4795) (xy 87.917311 134.479498) (xy 87.917309 134.479496) (xy 87.803189 134.413609) + (xy 87.803191 134.413609) (xy 87.753799 134.400375) (xy 87.675892 134.3795) (xy 87.544108 134.3795) + (xy 87.4662 134.400375) (xy 87.416809 134.413609) (xy 87.30269 134.479496) (xy 87.209496 134.57269) + (xy 87.143609 134.686809) (xy 87.136863 134.711987) (xy 87.1095 134.814108) (xy 85.3815 134.814108) + (xy 85.3815 134.481439) (xy 85.3815 134.481438) (xy 85.372176 134.44664) (xy 85.361022 134.405012) + (xy 85.346293 134.3795) (xy 85.321463 134.336493) (xy 85.321459 134.336488) (xy 85.140496 134.155524) + (xy 85.112719 134.101008) (xy 85.1115 134.085521) (xy 85.1115 133.0244) (xy 85.111499 133.024398) + (xy 85.091021 132.947974) (xy 85.091019 132.94797) (xy 85.05146 132.879452) (xy 84.995511 132.823502) + (xy 84.995511 132.823503) (xy 84.740496 132.568488) (xy 84.738521 132.564612) (xy 84.734828 132.562318) + (xy 84.728424 132.544795) (xy 84.712719 132.513971) (xy 84.71176 132.505648) (xy 84.7115 132.502064) + (xy 84.7115 132.391498) (xy 84.702932 132.359523) (xy 84.691022 132.315072) (xy 84.65146 132.246549) + (xy 84.59222 132.187309) (xy 84.339496 131.934584) (xy 84.311719 131.880068) (xy 84.3105 131.864581) + (xy 84.3105 131.814109) (xy 84.3105 131.814108) (xy 84.276392 131.686814) (xy 84.27639 131.686811) + (xy 84.27639 131.686809) (xy 84.210503 131.57269) (xy 84.210501 131.572688) (xy 84.2105 131.572686) + (xy 84.117314 131.4795) (xy 84.117311 131.479498) (xy 84.117309 131.479496) (xy 84.003189 131.413609) + (xy 84.003191 131.413609) (xy 83.953799 131.400375) (xy 83.875892 131.3795) (xy 83.744108 131.3795) + (xy 83.6662 131.400375) (xy 83.616809 131.413609) (xy 83.50269 131.479496) (xy 83.409496 131.57269) + (xy 83.343609 131.686809) (xy 83.343608 131.686814) (xy 83.3095 131.814108) (xy 83.3095 131.945892) + (xy 83.339579 132.058151) (xy 83.343609 132.07319) (xy 83.409496 132.187309) (xy 83.409498 132.187311) + (xy 83.4095 132.187314) (xy 83.432185 132.209999) (xy 83.459961 132.264514) (xy 83.45039 132.324946) + (xy 83.432185 132.350003) (xy 83.409499 132.372689) (xy 83.343609 132.486812) (xy 83.343608 132.486817) + (xy 83.3095 132.614111) (xy 83.3095 132.745895) (xy 83.330295 132.823502) (xy 83.343609 132.873193) + (xy 83.409496 132.987312) (xy 83.409498 132.987314) (xy 83.4095 132.987317) (xy 83.432185 133.010002) + (xy 83.459961 133.064517) (xy 83.45039 133.124949) (xy 83.432185 133.150006) (xy 83.409499 133.172692) + (xy 83.343609 133.286815) (xy 83.3095 133.414115) (xy 83.3095 133.514526) (xy 83.290593 133.572717) + (xy 83.280504 133.58453) (xy 82.365489 134.499546) (xy 82.365488 134.499545) (xy 82.309539 134.555495) + (xy 82.26998 134.624013) (xy 82.269978 134.624017) (xy 82.2495 134.700441) (xy 82.2495 144.024861) + (xy 82.230593 144.083052) (xy 82.181093 144.119016) (xy 82.119907 144.119016) (xy 82.080498 144.094866) + (xy 81.949655 143.964023) (xy 81.807303 143.868907) (xy 81.777597 143.849058) (xy 81.586418 143.769869) + (xy 81.383467 143.7295) (xy 81.383465 143.7295) (xy 81.176535 143.7295) (xy 81.176532 143.7295) + (xy 80.973581 143.769869) (xy 80.782402 143.849058) (xy 80.610348 143.96402) (xy 80.46402 144.110348) + (xy 80.349058 144.282402) (xy 80.269869 144.473581) (xy 80.2295 144.676532) (xy 76.7005 144.676532) + (xy 76.7005 128.839108) (xy 84.9705 128.839108) (xy 84.9705 128.970892) (xy 85.000579 129.083151) + (xy 85.004609 129.09819) (xy 85.070496 129.212309) (xy 85.070498 129.212311) (xy 85.0705 129.212314) + (xy 85.150505 129.292319) (xy 85.178281 129.346834) (xy 85.1795 129.362321) (xy 85.1795 130.959564) + (xy 85.199978 131.035986) (xy 85.199979 131.035989) (xy 85.208356 131.050498) (xy 85.208356 131.0505) + (xy 85.235361 131.097273) (xy 85.23954 131.104511) (xy 88.950505 134.815476) (xy 88.978281 134.869991) + (xy 88.9795 134.885478) (xy 88.9795 134.985892) (xy 89.00289 135.073186) (xy 89.013609 135.11319) + (xy 89.079496 135.227309) (xy 89.079498 135.227311) (xy 89.0795 135.227314) (xy 89.172686 135.3205) + (xy 89.172688 135.320501) (xy 89.17269 135.320503) (xy 89.28681 135.38639) (xy 89.286808 135.38639) + (xy 89.286812 135.386391) (xy 89.286814 135.386392) (xy 89.414108 135.4205) (xy 89.41411 135.4205) + (xy 89.54589 135.4205) (xy 89.545892 135.4205) (xy 89.673186 135.386392) (xy 89.673188 135.38639) + (xy 89.67319 135.38639) (xy 89.787309 135.320503) (xy 89.787309 135.320502) (xy 89.787314 135.3205) + (xy 89.8805 135.227314) (xy 89.903594 135.187314) (xy 89.94639 135.11319) (xy 89.94639 135.113188) + (xy 89.946392 135.113186) (xy 89.9805 134.985892) (xy 89.9805 134.854108) (xy 89.946392 134.726814) + (xy 89.94639 134.726811) (xy 89.94639 134.726809) (xy 89.880503 134.61269) (xy 89.880501 134.612688) + (xy 89.8805 134.612686) (xy 89.787314 134.5195) (xy 89.787311 134.519498) (xy 89.787309 134.519496) + (xy 89.673189 134.453609) (xy 89.673191 134.453609) (xy 89.61785 134.438781) (xy 89.545892 134.4195) + (xy 89.445479 134.4195) (xy 89.387288 134.400593) (xy 89.375475 134.390504) (xy 85.809496 130.824525) + (xy 85.781719 130.770008) (xy 85.7805 130.754521) (xy 85.7805 129.344321) (xy 85.799407 129.28613) + (xy 85.80949 129.274323) (xy 85.8715 129.212314) (xy 85.937392 129.098186) (xy 85.9715 128.970892) + (xy 85.9715 128.839108) (xy 85.937392 128.711814) (xy 85.93739 128.711811) (xy 85.93739 128.711809) + (xy 85.871503 128.59769) (xy 85.871501 128.597688) (xy 85.8715 128.597686) (xy 85.778314 128.5045) + (xy 85.778311 128.504498) (xy 85.778309 128.504496) (xy 85.664189 128.438609) (xy 85.664191 128.438609) + (xy 85.614799 128.425375) (xy 85.536892 128.4045) (xy 85.405108 128.4045) (xy 85.3272 128.425375) + (xy 85.277809 128.438609) (xy 85.16369 128.504496) (xy 85.070496 128.59769) (xy 85.004609 128.711809) + (xy 85.004608 128.711814) (xy 84.9705 128.839108) (xy 76.7005 128.839108) (xy 76.7005 127.864108) + (xy 87.7095 127.864108) (xy 87.7095 127.995892) (xy 87.739579 128.108151) (xy 87.743609 128.12319) + (xy 87.809496 128.237309) (xy 87.809498 128.237311) (xy 87.8095 128.237314) (xy 87.880505 128.308319) + (xy 87.908281 128.362834) (xy 87.9095 128.378321) (xy 87.9095 130.789564) (xy 87.929978 130.865988) + (xy 87.92998 130.865992) (xy 87.969538 130.934508) (xy 87.96954 130.934511) (xy 89.299543 132.264514) + (xy 90.623948 133.588919) (xy 90.651725 133.643436) (xy 90.642154 133.703868) (xy 90.598889 133.747133) + (xy 90.579567 133.75455) (xy 90.487561 133.779203) (xy 90.487559 133.779203) (xy 90.487555 133.779205) + (xy 90.373437 133.845091) (xy 90.280243 133.938285) (xy 90.214356 134.052404) (xy 90.214355 134.052409) + (xy 90.180247 134.179703) (xy 90.180247 134.311487) (xy 90.189701 134.34677) (xy 90.214356 134.438785) + (xy 90.280243 134.552904) (xy 90.280245 134.552906) (xy 90.280247 134.552909) (xy 90.373433 134.646095) + (xy 90.373435 134.646096) (xy 90.373437 134.646098) (xy 90.487557 134.711985) (xy 90.487555 134.711985) + (xy 90.487559 134.711986) (xy 90.487561 134.711987) (xy 90.611378 134.745163) (xy 90.655757 134.770785) + (xy 94.13156 138.246588) (xy 94.159337 138.301105) (xy 94.1504 138.35753) (xy 94.151092 138.357817) + (xy 94.149898 138.360697) (xy 94.149766 138.361537) (xy 94.14886 138.363203) (xy 94.148609 138.363809) + (xy 94.148608 138.363814) (xy 94.1145 138.491108) (xy 94.1145 138.622892) (xy 94.122975 138.654521) + (xy 94.148609 138.75019) (xy 94.214496 138.864309) (xy 94.214498 138.864311) (xy 94.2145 138.864314) + (xy 94.307686 138.9575) (xy 94.307688 138.957501) (xy 94.30769 138.957503) (xy 94.42181 139.02339) + (xy 94.421808 139.02339) (xy 94.421812 139.023391) (xy 94.421814 139.023392) (xy 94.549108 139.0575) + (xy 94.54911 139.0575) (xy 94.68089 139.0575) (xy 94.680892 139.0575) (xy 94.808186 139.023392) + (xy 94.808188 139.02339) (xy 94.80819 139.02339) (xy 94.922309 138.957503) (xy 94.922309 138.957502) + (xy 94.922314 138.9575) (xy 95.0155 138.864314) (xy 95.015503 138.864309) (xy 95.08139 138.75019) + (xy 95.08139 138.750188) (xy 95.081392 138.750186) (xy 95.1155 138.622892) (xy 95.1155 138.491108) + (xy 95.081392 138.363814) (xy 95.08139 138.363811) (xy 95.08139 138.363809) (xy 95.023737 138.263952) + (xy 95.011015 138.204104) (xy 95.035901 138.148208) (xy 95.088889 138.117615) (xy 95.14974 138.124011) + (xy 95.179477 138.144448) (xy 97.250504 140.215475) (xy 97.25413 140.222592) (xy 97.260593 140.227288) + (xy 97.267756 140.249336) (xy 97.278281 140.269992) (xy 97.2795 140.285479) (xy 97.2795 140.471679) + (xy 97.260593 140.52987) (xy 97.250509 140.541676) (xy 97.1795 140.612686) (xy 97.179497 140.612689) + (xy 97.179496 140.61269) (xy 97.113609 140.726809) (xy 97.113608 140.726814) (xy 97.0795 140.854108) + (xy 97.0795 140.985892) (xy 97.109579 141.098151) (xy 97.113609 141.11319) (xy 97.179496 141.227309) + (xy 97.179498 141.227311) (xy 97.1795 141.227314) (xy 97.272686 141.3205) (xy 97.272688 141.320501) + (xy 97.27269 141.320503) (xy 97.38681 141.38639) (xy 97.386808 141.38639) (xy 97.386812 141.386391) + (xy 97.386814 141.386392) (xy 97.514108 141.4205) (xy 97.51411 141.4205) (xy 97.64589 141.4205) + (xy 97.645892 141.4205) (xy 97.773186 141.386392) (xy 97.773188 141.38639) (xy 97.77319 141.38639) + (xy 97.887309 141.320503) (xy 97.887309 141.320502) (xy 97.887314 141.3205) (xy 97.9805 141.227314) + (xy 98.046392 141.113186) (xy 98.0805 140.985892) (xy 98.0805 140.854108) (xy 98.046392 140.726814) + (xy 98.04639 140.726811) (xy 98.04639 140.726809) (xy 97.980503 140.61269) (xy 97.980501 140.612688) + (xy 97.9805 140.612686) (xy 97.909494 140.54168) (xy 97.881719 140.487166) (xy 97.8805 140.471679) + (xy 97.8805 140.080437) (xy 97.880499 140.080435) (xy 97.860021 140.004011) (xy 97.860019 140.004007) + (xy 97.82046 139.935489) (xy 97.764511 139.879539) (xy 97.764511 139.87954) (xy 88.539496 130.654525) + (xy 88.511719 130.600008) (xy 88.5105 130.584521) (xy 88.5105 128.378321) (xy 88.529407 128.32013) + (xy 88.53949 128.308323) (xy 88.6105 128.237314) (xy 88.676392 128.123186) (xy 88.7105 127.995892) + (xy 88.7105 127.864108) (xy 88.676392 127.736814) (xy 88.67639 127.736811) (xy 88.67639 127.736809) + (xy 88.610503 127.62269) (xy 88.610501 127.622688) (xy 88.6105 127.622686) (xy 88.517314 127.5295) + (xy 88.517311 127.529498) (xy 88.517309 127.529496) (xy 88.403189 127.463609) (xy 88.403191 127.463609) + (xy 88.353799 127.450375) (xy 88.275892 127.4295) (xy 88.144108 127.4295) (xy 88.0662 127.450375) + (xy 88.016809 127.463609) (xy 87.90269 127.529496) (xy 87.809496 127.62269) (xy 87.743609 127.736809) + (xy 87.743608 127.736814) (xy 87.7095 127.864108) (xy 76.7005 127.864108) (xy 76.7005 124.051) (xy 76.719407 123.992809) + (xy 76.768907 123.956845) (xy 76.7995 123.952) (xy 108.6205 123.952) + ) + ) + ) + (embedded_fonts no) +) diff --git a/daughter-boards/nfc/nfc.kicad_prl b/daughter-boards/nfc/nfc.kicad_prl new file mode 100644 index 0000000..2e15320 --- /dev/null +++ b/daughter-boards/nfc/nfc.kicad_prl @@ -0,0 +1,131 @@ +{ + "board": { + "active_layer": 0, + "active_layer_preset": "", + "auto_track_width": true, + "hidden_netclasses": [], + "hidden_nets": [], + "high_contrast_mode": 0, + "net_color_mode": 1, + "opacity": { + "images": 0.6, + "pads": 1.0, + "shapes": 1.0, + "tracks": 1.0, + "vias": 1.0, + "zones": 0.6 + }, + "prototype_zone_fills": false, + "selection_filter": { + "dimensions": true, + "footprints": true, + "graphics": true, + "keepouts": true, + "lockedItems": false, + "otherItems": true, + "pads": true, + "text": true, + "tracks": true, + "vias": true, + "zones": true + }, + "visible_items": [ + "vias", + "footprint_text", + "footprint_anchors", + "ratsnest", + "grid", + "footprints_front", + "footprints_back", + "footprint_values", + "footprint_references", + "tracks", + "drc_errors", + "drawing_sheet", + "bitmaps", + "pads", + "zones", + "drc_warnings", + "locked_item_shadows", + "conflict_shadows", + "shapes" + ], + "visible_layers": "ffffffff_ffffffff_fffffff7_ffffffff", + "zone_display_mode": 0 + }, + "git": { + "repo_type": "", + "repo_username": "", + "ssh_key": "" + }, + "meta": { + "filename": "rfid-reader.kicad_prl", + "version": 5 + }, + "net_inspector_panel": { + "col_hidden": [ + false, + false, + false, + false, + false, + false, + false, + false, + false, + false + ], + "col_order": [ + 0, + 1, + 2, + 3, + 4, + 5, + 6, + 7, + 8, + 9 + ], + "col_widths": [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0 + ], + "custom_group_rules": [], + "expanded_rows": [], + "filter_by_net_name": true, + "filter_by_netclass": true, + "filter_text": "", + "group_by_constraint": false, + "group_by_netclass": false, + "show_unconnected_nets": false, + "show_zero_pad_nets": false, + "sort_ascending": true, + "sorting_column": 0 + }, + "open_jobsets": [], + "project": { + "files": [] + }, + "schematic": { + "selection_filter": { + "graphics": true, + "images": true, + "labels": true, + "lockedItems": false, + "otherItems": true, + "pins": true, + "symbols": true, + "text": true, + "wires": true + } + } +} diff --git a/daughter-boards/nfc/nfc.kicad_pro b/daughter-boards/nfc/nfc.kicad_pro new file mode 100644 index 0000000..f6c1686 --- /dev/null +++ b/daughter-boards/nfc/nfc.kicad_pro @@ -0,0 +1,678 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "apply_defaults_to_fp_fields": false, + "apply_defaults_to_fp_shapes": false, + "apply_defaults_to_fp_text": false, + "board_outline_line_width": 0.05, + "copper_line_width": 0.2, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.05, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": true, + "text_position": 0, + "units_format": 0 + }, + "fab_line_width": 0.1, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.1, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.8, + "height": 1.27, + "width": 2.54 + }, + "silk_line_width": 0.1, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.1, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.2 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [ + [ + "shorting_items|100710000|119180000|42ded1ea-73df-4df1-99bb-c36e1b8e956c|926fc88d-7514-407f-b749-54895a5d5bc9", + "" + ] + ], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "creepage": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_filters_mismatch": "ignore", + "footprint_symbol_mismatch": "warning", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_to_hole": "warning", + "holes_co_located": "warning", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "mirrored_text_on_front_layer": "warning", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "nonmirrored_text_on_back_layer": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_on_edge_cuts": "error", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_angle": "error", + "track_dangling": "warning", + "track_segment_length": "error", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.5, + "min_groove_width": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.2, + "min_microvia_drill": 0.1, + "min_resolved_spokes": 1, + "min_silk_clearance": 0.0, + "min_text_height": 0.75, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.0, + "min_via_annular_width": 0.1, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_onpthpad": true, + "td_onroundshapesonly": false, + "td_onsmdpad": true, + "td_ontrackend": false, + "td_onvia": true + } + ], + "teardrop_parameters": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_on_pad_in_zone": false, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0 + ], + "tuning_pattern_settings": { + "diff_pair_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 1.0 + }, + "diff_pair_skew_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + }, + "single_track_defaults": { + "corner_radius_percentage": 80, + "corner_style": 1, + "max_amplitude": 1.0, + "min_amplitude": 0.2, + "single_sided": false, + "spacing": 0.6 + } + }, + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false + }, + "ipc2581": { + "dist": "", + "distpn": "", + "internal_id": "", + "mfg": "", + "mpn": "" + }, + "layer_pairs": [], + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "footprint_filter": "ignore", + "footprint_link_issues": "warning", + "four_way_junction": "ignore", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "label_multiple_wires": "warning", + "lib_symbol_issues": "warning", + "lib_symbol_mismatch": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "same_local_global_label": "warning", + "similar_label_and_power": "warning", + "similar_labels": "warning", + "similar_power": "warning", + "simulation_model_issue": "ignore", + "single_global_label": "ignore", + "unannotated": "error", + "unconnected_wire_endpoint": "warning", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "rfid-reader.kicad_pro", + "version": 3 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "priority": 2147483647, + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.2, + "via_diameter": 0.6, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 4 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "plot": "gerber", + "pos_files": "", + "specctra_dsn": "", + "step": "", + "svg": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "bom_export_filename": "${PROJECTNAME}.csv", + "bom_fmt_presets": [], + "bom_fmt_settings": { + "field_delimiter": ",", + "keep_line_breaks": false, + "keep_tabs": false, + "name": "CSV", + "ref_delimiter": ",", + "ref_range_delimiter": "", + "string_delimiter": "\"" + }, + "bom_presets": [], + "bom_settings": { + "exclude_dnp": false, + "fields_ordered": [ + { + "group_by": false, + "label": "Reference", + "name": "Reference", + "show": true + }, + { + "group_by": false, + "label": "Qty", + "name": "${QUANTITY}", + "show": true + }, + { + "group_by": true, + "label": "Value", + "name": "Value", + "show": true + }, + { + "group_by": true, + "label": "DNP", + "name": "${DNP}", + "show": true + }, + { + "group_by": true, + "label": "Exclude from BOM", + "name": "${EXCLUDE_FROM_BOM}", + "show": true + }, + { + "group_by": true, + "label": "Exclude from Board", + "name": "${EXCLUDE_FROM_BOARD}", + "show": true + }, + { + "group_by": true, + "label": "Footprint", + "name": "Footprint", + "show": true + }, + { + "group_by": false, + "label": "Datasheet", + "name": "Datasheet", + "show": false + }, + { + "group_by": false, + "label": "ManufacturerRef", + "name": "ManufacturerRef", + "show": true + }, + { + "group_by": false, + "label": "Manufacturer", + "name": "Manufacturer", + "show": true + }, + { + "group_by": false, + "label": "Description", + "name": "Description", + "show": false + }, + { + "group_by": false, + "label": "#", + "name": "${ITEM_NUMBER}", + "show": false + }, + { + "group_by": false, + "label": "Price", + "name": "Price", + "show": true + }, + { + "group_by": false, + "label": "Vendor", + "name": "Vendor", + "show": true + }, + { + "group_by": false, + "label": "VendorRef", + "name": "VendorRef", + "show": true + } + ], + "filter_string": "", + "group_symbols": true, + "include_excluded_from_bom": true, + "name": "", + "sort_asc": true, + "sort_field": "${EXCLUDE_FROM_BOARD}" + }, + "connection_grid_size": 50.0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "operating_point_overlay_i_precision": 3, + "operating_point_overlay_i_range": "~A", + "operating_point_overlay_v_precision": 3, + "operating_point_overlay_v_range": "~V", + "overbar_offset_ratio": 1.23, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "space_save_all_events": true, + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_dissipations": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "6269ca54-79b8-454f-bbff-8c3f0cdb6235", + "Root" + ] + ], + "text_variables": {} +} diff --git a/daughter-boards/nfc/nfc.kicad_sch b/daughter-boards/nfc/nfc.kicad_sch new file mode 100644 index 0000000..065ef52 --- /dev/null +++ b/daughter-boards/nfc/nfc.kicad_sch @@ -0,0 +1,10001 @@ +(kicad_sch + (version 20250227) + (generator "eeschema") + (generator_version "9.99") + (uuid "6269ca54-79b8-454f-bbff-8c3f0cdb6235") + (paper "A4") + (title_block + (title "Scaffol NFC daughterboard") + (date "2025-05-21") + (rev "1.0.0") + (company "Ledger") + ) + (lib_symbols + (symbol "Connector:Conn_Coaxial_Small" + (pin_numbers + (hide yes) + ) + (pin_names + (offset 1.016) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0.254 3.048 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Conn_Coaxial_Small" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "small coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA MCX MMCX U.FL UMRF" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_fp_filters" "*BNC* *SMA* *SMB* *SMC* *Cinch* *LEMO* *UMRF* *MCX* *U.FL*" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "Conn_Coaxial_Small_0_1" + (polyline + (pts + (xy -2.54 0) (xy -0.508 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (circle + (center 0 0) + (radius 0.508) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "Conn_Coaxial_Small_1_1" + (arc + (start 1.3484 0.0039) + (mid 0.327 -1.308) + (end -1.1916 -0.6311) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start -1.1916 0.6311) + (mid 0.327 1.3081) + (end 1.3484 -0.0039) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + (pin passive line + (at -2.54 0 0) + (length 1.27) + (name "In" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 2.54 0 180) + (length 1.27) + (name "Ext" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "Connector:TestPoint" + (pin_numbers + (hide yes) + ) + (pin_names + (offset 0.762) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "TP" + (at 0 6.858 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TestPoint" + (at 0 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 5.08 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 5.08 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "test point" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "test point tp" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_fp_filters" "Pin* Test*" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "TestPoint_0_1" + (circle + (center 0 3.302) + (radius 0.762) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line + (at 0 0 90) + (length 2.54) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "Device:Antenna_Loop" + (pin_numbers + (hide yes) + ) + (pin_names + (offset 1.016) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "AE" + (at 1.27 6.35 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Antenna_Loop" + (at 1.27 5.08 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Loop antenna" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "loop antenna" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "Antenna_Loop_0_1" + (polyline + (pts + (xy 2.54 -3.81) (xy 2.54 -2.54) (xy 5.08 0) (xy 1.27 3.81) (xy -2.54 0) (xy 0 -2.54) (xy 0 -3.81) + ) + (stroke + (width 0.254) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "Antenna_Loop_1_1" + (pin input line + (at 0 -5.08 90) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 2.54 -5.08 90) + (length 2.54) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "Device:C_Small" + (pin_numbers + (hide yes) + ) + (pin_names + (offset 0.254) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "C" + (at 0.254 1.778 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "C_Small" + (at 0.254 -2.032 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "capacitor cap" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_fp_filters" "C_*" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 0.508) (xy 1.524 0.508) + ) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.524 -0.508) (xy 1.524 -0.508) + ) + (stroke + (width 0.3302) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "C_Small_1_1" + (pin passive line + (at 0 2.54 270) + (length 2.032) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -2.54 90) + (length 2.032) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "Device:Crystal_GND2" + (pin_names + (offset 1.016) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "Y" + (at 0 5.715 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "Crystal_GND2" + (at 0 3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Three pin crystal, GND on pin 2" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_fp_filters" "Crystal*" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "Crystal_GND2_0_1" + (polyline + (pts + (xy -2.54 0) (xy -1.905 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -2.54 -2.286) (xy -2.54 -3.556) (xy 2.54 -3.556) (xy 2.54 -2.286) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy -1.905 -1.27) (xy -1.905 1.27) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (rectangle + (start -1.143 2.54) + (end 1.143 -2.54) + (stroke + (width 0.3048) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 -3.81) (xy 0 -3.556) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.905 1.27) (xy 1.905 -1.27) + ) + (stroke + (width 0.508) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 1.905 0) (xy 2.54 0) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "Crystal_GND2_1_1" + (pin passive line + (at -3.81 0 0) + (length 1.27) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -5.08 90) + (length 1.27) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 3.81 0 180) + (length 1.27) + (name "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "Device:L" + (pin_numbers + (hide yes) + ) + (pin_names + (offset 1.016) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "L" + (at -1.27 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "L" + (at 1.905 0 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Inductor" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "inductor choke coil reactor magnetic" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "L_0_1" + (arc + (start 0 2.54) + (mid 0.6323 1.905) + (end 0 1.27) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 1.27) + (mid 0.6323 0.635) + (end 0 0) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 0) + (mid 0.6323 -0.635) + (end 0 -1.27) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (arc + (start 0 -1.27) + (mid 0.6323 -1.905) + (end 0 -2.54) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "L_1_1" + (pin passive line + (at 0 3.81 270) + (length 1.27) + (name "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -3.81 90) + (length 1.27) + (name "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "Device:R_Small" + (pin_numbers + (hide yes) + ) + (pin_names + (offset 0.254) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "R" + (at 0.762 0.508 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "R_Small" + (at 0.762 -1.016 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small symbol" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "R resistor" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_fp_filters" "R_*" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "R_Small_0_1" + (rectangle + (start -0.762 1.778) + (end 0.762 -1.778) + (stroke + (width 0.2032) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "R_Small_1_1" + (pin passive line + (at 0 2.54 270) + (length 0.762) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -2.54 90) + (length 0.762) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "library:SCAFFOLD_DUT_CONNECTOR_BOT" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (at 7.62 1.27 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "SCAFFOLD_DUT_CONNECTOR_BOT_1_1" + (rectangle + (start -11.43 6.35) + (end 13.97 -6.35) + (stroke + (width 0) + (type solid) + ) + (fill + (type background) + ) + ) + (pin bidirectional line + (at -10.16 8.89 270) + (length 2.54) + (name "D0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -10.16 -8.89 90) + (length 2.54) + (name "D1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -7.62 8.89 270) + (length 2.54) + (name "D2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -7.62 -8.89 90) + (length 2.54) + (name "D3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -5.08 8.89 270) + (length 2.54) + (name "D4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -5.08 -8.89 90) + (length 2.54) + (name "D5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -2.54 8.89 270) + (length 2.54) + (name "D6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -2.54 -8.89 90) + (length 2.54) + (name "D7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 0 8.89 270) + (length 2.54) + (name "D8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 0 -8.89 90) + (length 2.54) + (name "D9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 2.54 8.89 270) + (length 2.54) + (name "D10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 2.54 -8.89 90) + (length 2.54) + (name "D11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 5.08 8.89 270) + (length 2.54) + (name "D12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 5.08 -8.89 90) + (length 2.54) + (name "D13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 8.89 270) + (length 2.54) + (name "D14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at 7.62 -8.89 90) + (length 2.54) + (name "D15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 10.16 8.89 270) + (length 2.54) + (name "VDD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 10.16 -8.89 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 8.89 270) + (length 2.54) + (name "VDDA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 -8.89 90) + (length 2.54) + (name "GNDA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "library:SCAFFOLD_DUT_CONNECTOR_TOP" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "J" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (at 7.62 1.27 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "SCAFFOLD_DUT_CONNECTOR_TOP_1_1" + (rectangle + (start -11.43 6.35) + (end 13.97 -6.35) + (stroke + (width 0) + (type solid) + ) + (fill + (type background) + ) + ) + (pin passive line + (at -10.16 8.89 270) + (length 2.54) + (name "X0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -10.16 -8.89 90) + (length 2.54) + (name "X1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 8.89 270) + (length 2.54) + (name "X2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -7.62 -8.89 90) + (length 2.54) + (name "X3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 8.89 270) + (length 2.54) + (name "X4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -5.08 -8.89 90) + (length 2.54) + (name "X5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 8.89 270) + (length 2.54) + (name "X6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at -2.54 -8.89 90) + (length 2.54) + (name "X7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 8.89 270) + (length 2.54) + (name "X8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 0 -8.89 90) + (length 2.54) + (name "X9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 2.54 8.89 270) + (length 2.54) + (name "X10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 2.54 -8.89 90) + (length 2.54) + (name "X11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 8.89 270) + (length 2.54) + (name "X12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 5.08 -8.89 90) + (length 2.54) + (name "X13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 8.89 270) + (length 2.54) + (name "X14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 7.62 -8.89 90) + (length 2.54) + (name "X15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 10.16 8.89 270) + (length 2.54) + (name "X18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin passive line + (at 10.16 -8.89 90) + (length 2.54) + (name "X17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 8.89 270) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_out line + (at 12.7 -8.89 90) + (length 2.54) + (name "GND" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "library:TRF7970A" + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "U" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TRF7970A" + (at 0 2.54 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/gpn/trf7970a" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Multiprotocol Fully Integrated 13.56-MHz RFID and Near Field Communication (NFC) Transceiver IC" + (at 0 2.54 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "TRF7970A_1_1" + (rectangle + (start -17.78 25.4) + (end 17.78 -27.94) + (stroke + (width 0) + (type solid) + ) + (fill + (type background) + ) + ) + (pin input line + (at -20.32 22.86 0) + (length 2.54) + (name "EN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "28" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -20.32 20.32 0) + (length 2.54) + (name "EN2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "25" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 15.24 0) + (length 2.54) + (name "IO0" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "17" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 12.7 0) + (length 2.54) + (name "IO1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "18" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 10.16 0) + (length 2.54) + (name "IO2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "19" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 7.62 0) + (length 2.54) + (name "IO3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "20" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 5.08 0) + (length 2.54) + (name "IO4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "21" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SPI_SS" input line) + ) + (pin bidirectional line + (at -20.32 2.54 0) + (length 2.54) + (name "IO5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "22" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SERIAL_CLK_OUT" output line) + ) + (pin bidirectional line + (at -20.32 0 0) + (length 2.54) + (name "IO6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "23" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SERIAL_DATA_OUT" output line) + (alternate "SPI_MISO" output line) + (alternate "SUBCARRIER" output line) + ) + (pin bidirectional line + (at -20.32 -2.54 0) + (length 2.54) + (name "IO7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "24" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (alternate "SPI_MOSI" input line) + ) + (pin input line + (at -20.32 -5.08 0) + (length 2.54) + (name "DATA_CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "26" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -20.32 -10.16 0) + (length 2.54) + (name "SYS_CLK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "27" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -20.32 -12.7 0) + (length 2.54) + (name "IRQ" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "13" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 -15.24 0) + (length 2.54) + (name "MOD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "14" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin bidirectional line + (at -20.32 -17.78 0) + (length 2.54) + (name "ASK/OOK" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "12" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at -20.32 -22.86 0) + (length 2.54) + (name "OSC_IN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "31" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -20.32 -25.4 0) + (length 2.54) + (name "OSC_OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "30" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -7.62 27.94 270) + (length 2.54) + (name "VIN" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at -5.08 27.94 270) + (length 2.54) + (name "VDD_X" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "32" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -5.08 -30.48 90) + (length 2.54) + (name "VSS_PA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "6" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 27.94 270) + (length 2.54) + (name "VDD_I/O" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "16" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at -2.54 -30.48 90) + (length 2.54) + (name "VSS_RX" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "7" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 0 27.94 270) + (length 2.54) + (name "BAND_GAP" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "11" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 0 -30.48 90) + (length 2.54) + (name "VSS" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "10" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 2.54 27.94 270) + (length 2.54) + (name "VDD_PA" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "4" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 2.54 -30.48 90) + (length 2.54) + (name "VSS_A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "15" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 5.08 27.94 270) + (length 2.54) + (name "VDD_RF" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "3" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 5.08 -30.48 90) + (length 2.54) + (name "VSS_D" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "29" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 7.62 27.94 270) + (length 2.54) + (name "VDD_A" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin power_in line + (at 7.62 -30.48 90) + (length 2.54) + (name "PAD" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "33" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin output line + (at 20.32 10.16 180) + (length 2.54) + (name "TX_OUT" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "5" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 20.32 0 180) + (length 2.54) + (name "RX_IN1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "8" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + (pin input line + (at 20.32 -10.16 180) + (length 2.54) + (name "RX_IN2" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "9" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "mylib:DUNGEON_LOGO" + (pin_names + (offset 1.016) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "LOGO" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "DUNGEON_LOGO" + (at 0 0 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "DUNGEON_LOGO_0_1" + (polyline + (pts + (xy 0 2.794) (xy 2.286 1.778) (xy 2.286 1.016) (xy 1.778 0.508) (xy 1.778 -0.508) (xy 1.27 -1.778) + (xy 0 -2.794) (xy -1.27 -1.778) (xy -1.778 -0.508) (xy -1.778 0.508) (xy -2.286 1.016) (xy -2.286 1.778) + (xy 0 2.794) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "power:+3V3" + (power global) + (pin_numbers + (hide yes) + ) + (pin_names + (offset 0) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -3.81 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "+3V3" + (at 0 3.556 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 2.54) (xy 0.762 1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + (polyline + (pts + (xy 0 0) (xy 0 2.54) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line + (at 0 0 90) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + (symbol "power:GND" + (power global) + (pin_numbers + (hide yes) + ) + (pin_names + (offset 0) + (hide yes) + ) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (property "Reference" "#PWR" + (at 0 -6.35 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 0 -3.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ki_keywords" "global power" + (at 0 0 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27) + ) + (stroke + (width 0) + (type default) + ) + (fill + (type none) + ) + ) + ) + (symbol "GND_1_1" + (pin power_in line + (at 0 0 270) + (length 0) + (name "~" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (number "1" + (effects + (font + (size 1.27 1.27) + ) + ) + ) + ) + ) + (embedded_fonts no) + ) + ) + (text "Antenna must be in\n1µH to 1.5µH range.\n\nCurrent antenna: 1.1 µH\n(Modified Wheeler estimation)\nZ = 93.71j @13.56 MHz" + (exclude_from_sim no) + (at 251.46 76.2 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "3dc9a3a6-6d24-4ebc-9d65-4dbc6929d007") + ) + (text "Output impedance of\nTRF7970A is 4Ω." + (exclude_from_sim no) + (at 148.59 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "86a9f4ff-bbe0-4608-832d-0731b1200145") + ) + (text "C13 and C15 are placeholders\nfor fine tuning if necessary." + (exclude_from_sim no) + (at 224.028 121.666 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + (uuid "c1374300-470b-4c4f-a386-8701dabefe45") + ) + (junction + (at 218.44 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "0028333f-1f5e-4c6e-b4ca-5ea622fe2aa2") + ) + (junction + (at 238.76 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "00fb668c-ef72-4171-b508-3e15f91f305d") + ) + (junction + (at 157.48 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "02f8069e-0a51-4065-a1a5-93ebc0af80b0") + ) + (junction + (at 104.14 135.89) + (diameter 0) + (color 0 0 0 0) + (uuid "05ea3e40-a79d-44c8-a327-f037ddc0dcdc") + ) + (junction + (at 167.64 102.87) + (diameter 0) + (color 0 0 0 0) + (uuid "0816b67c-8a44-4f45-8674-6d1518600043") + ) + (junction + (at 167.64 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "1e94294f-3d84-4e09-978e-bb66221f0b88") + ) + (junction + (at 121.92 72.39) + (diameter 0) + (color 0 0 0 0) + (uuid "236b22a7-4d4d-41f4-8c22-6034b501bbca") + ) + (junction + (at 187.96 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "245ab120-bf21-4ae3-81cc-66373861c157") + ) + (junction + (at 167.64 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "38fd6a7b-cea8-4cdf-bd23-08f4a64796d6") + ) + (junction + (at 231.14 34.29) + (diameter 0) + (color 0 0 0 0) + (uuid "4273e5fe-0970-4a2c-a01b-751bf3aad1d3") + ) + (junction + (at 200.66 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "4aa1a1e5-ee89-4c54-ac51-231774d999d1") + ) + (junction + (at 210.82 36.83) + (diameter 0) + (color 0 0 0 0) + (uuid "4f8e076e-993e-4c16-91a5-563c7296f8c1") + ) + (junction + (at 198.12 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "5576024c-5228-421f-9738-cdcb09efb371") + ) + (junction + (at 259.08 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "55c444dc-a677-4f40-9def-e9052cf4c32c") + ) + (junction + (at 132.08 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "5b9828a8-e631-4dc0-ab26-40f00f9174bb") + ) + (junction + (at 177.8 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "5eb9b4a7-ff69-48e1-bf4f-228b7154e786") + ) + (junction + (at 149.86 44.45) + (diameter 0) + (color 0 0 0 0) + (uuid "67514f69-7602-4f86-af91-67b5d45721ca") + ) + (junction + (at 149.86 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "68d137e4-e36d-4250-93b6-b8b174e8723c") + ) + (junction + (at 97.79 146.05) + (diameter 0) + (color 0 0 0 0) + (uuid "70fb6813-8420-4ca1-ac10-67fc54ddc5d0") + ) + (junction + (at 170.18 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "79bdcba6-92ff-48f2-9ea5-ed5873844cc7") + ) + (junction + (at 127 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "7fabd94b-4683-4685-bbb8-8b4291649f87") + ) + (junction + (at 129.54 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "81038842-613c-48cc-9246-174dbb5a0272") + ) + (junction + (at 160.02 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "860aceba-48ef-495a-ae86-8e1715315ee7") + ) + (junction + (at 228.6 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "89620db6-bccc-4000-87bb-0c6f40f68011") + ) + (junction + (at 238.76 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "9643c600-555c-49e4-8668-16ddcf4ffa19") + ) + (junction + (at 187.96 102.87) + (diameter 0) + (color 0 0 0 0) + (uuid "97aa540e-bc12-404b-9897-47e233096bc1") + ) + (junction + (at 187.96 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "9c5c9aa8-f34e-4987-ae5a-54ab6ea0136f") + ) + (junction + (at 190.5 39.37) + (diameter 0) + (color 0 0 0 0) + (uuid "a41079a6-6109-42dc-8e7e-b0ca7888dd3e") + ) + (junction + (at 149.86 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "a64b9bed-8152-4bbf-a7a2-d03f3155bbcf") + ) + (junction + (at 180.34 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "a88cbabb-af5c-4a1c-a595-322b0e80567d") + ) + (junction + (at 208.28 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "afdfb97c-4de7-49e7-a4f6-dc371fd6975a") + ) + (junction + (at 190.5 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "b1eb2ee0-9810-44e4-9b9d-45a3384557af") + ) + (junction + (at 218.44 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "b4be4b47-fa66-4c0e-825b-1b748e3c0a5a") + ) + (junction + (at 91.44 135.89) + (diameter 0) + (color 0 0 0 0) + (uuid "b534e030-8b1f-4083-8590-a2a521a75d8b") + ) + (junction + (at 198.12 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "b975bd89-bcbe-4016-b50a-43070207a122") + ) + (junction + (at 119.38 34.29) + (diameter 0) + (color 0 0 0 0) + (uuid "ba945ae4-44c1-4522-b5af-6a0cb40ad725") + ) + (junction + (at 129.54 72.39) + (diameter 0) + (color 0 0 0 0) + (uuid "bbd47ff5-7876-4f9e-9643-47023824a06c") + ) + (junction + (at 231.14 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "bc7ea5fc-56a0-4d27-912c-43d9ad7f13cf") + ) + (junction + (at 248.92 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "be9bad9b-bb46-41ab-90ac-51b5b15abb74") + ) + (junction + (at 210.82 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "c41e7ca6-b017-4d5b-87c9-77bfb03b21a2") + ) + (junction + (at 220.98 54.61) + (diameter 0) + (color 0 0 0 0) + (uuid "e0504265-9acb-4c10-8eb7-e68b23b3dc43") + ) + (junction + (at 124.46 133.35) + (diameter 0) + (color 0 0 0 0) + (uuid "e0f042f4-83da-4196-9ec7-c0ee35638b61") + ) + (junction + (at 248.92 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "e361bbd3-67be-486b-9afb-2f81c135a22d") + ) + (junction + (at 228.6 92.71) + (diameter 0) + (color 0 0 0 0) + (uuid "e4c75373-ca32-41df-9986-e06fb4b38b5b") + ) + (junction + (at 208.28 113.03) + (diameter 0) + (color 0 0 0 0) + (uuid "eeef89e1-8536-488b-a662-0e98a21dde58") + ) + (junction + (at 170.18 41.91) + (diameter 0) + (color 0 0 0 0) + (uuid "fb642043-ae7e-4c97-8572-9b9fd6d20436") + ) + (no_connect + (at 50.8 67.31) + (uuid "00aeb104-9e4a-4be0-83f9-6811400bbd7c") + ) + (no_connect + (at 48.26 49.53) + (uuid "07f2564a-3ac7-4f8d-a0b8-9f72c9d2c6e0") + ) + (no_connect + (at 60.96 138.43) + (uuid "0e8a69b1-7992-4f18-8974-fceb4c9484b3") + ) + (no_connect + (at 45.72 156.21) + (uuid "1a043c7e-6227-4251-b752-788630144b87") + ) + (no_connect + (at 43.18 49.53) + (uuid "1f97c435-ebc4-4005-a208-d0c25279661b") + ) + (no_connect + (at 58.42 49.53) + (uuid "36d87d2d-92c2-4227-a658-7e67573d6e56") + ) + (no_connect + (at 63.5 67.31) + (uuid "3bee7398-b427-4ef1-bfc5-ed33a3313473") + ) + (no_connect + (at 45.72 138.43) + (uuid "40045a59-af9c-4989-9f0e-9cdd747d797c") + ) + (no_connect + (at 43.18 156.21) + (uuid "4388be5f-4ea0-4f1c-9bee-f03aea2da7bd") + ) + (no_connect + (at 48.26 67.31) + (uuid "446bb6d5-0541-4c4b-8f5c-a4bc6d0542e7") + ) + (no_connect + (at 45.72 67.31) + (uuid "566da841-941d-4165-9c20-7df19ae03401") + ) + (no_connect + (at 45.72 49.53) + (uuid "84bffab7-0a00-4707-9018-14c26946bf05") + ) + (no_connect + (at 63.5 49.53) + (uuid "84cae631-66a0-4719-88e1-51a6b5b45fa5") + ) + (no_connect + (at 55.88 67.31) + (uuid "947fe583-2d01-4e3a-bfd9-932625ebbc88") + ) + (no_connect + (at 43.18 67.31) + (uuid "962834e2-efbf-4e1c-9af6-042b967dfc10") + ) + (no_connect + (at 58.42 156.21) + (uuid "964f7eed-7c58-4b4a-a3eb-5359ca307784") + ) + (no_connect + (at 40.64 49.53) + (uuid "970e47e5-adba-4c64-b063-6c46bf08b1a0") + ) + (no_connect + (at 50.8 49.53) + (uuid "b3b02733-3074-45a9-995d-5b5f042f45ef") + ) + (no_connect + (at 58.42 67.31) + (uuid "b87b218d-8f80-446b-bd5a-ca5a788e8674") + ) + (no_connect + (at 60.96 67.31) + (uuid "ca92a21b-a4a1-4121-95f4-aa6ff55af51c") + ) + (no_connect + (at 55.88 49.53) + (uuid "d2bbd840-0edd-485d-bc94-2f12a7d63618") + ) + (no_connect + (at 53.34 49.53) + (uuid "dff1d923-d568-4a31-be06-1642c0abe821") + ) + (no_connect + (at 63.5 156.21) + (uuid "e2f1479f-80f0-402f-8875-9f55558f7d7e") + ) + (no_connect + (at 40.64 67.31) + (uuid "eea7f022-cfdf-4298-acf8-720c66d6894c") + ) + (no_connect + (at 53.34 67.31) + (uuid "f3803075-7de8-4e5b-bb3c-11302e47a5fc") + ) + (no_connect + (at 60.96 49.53) + (uuid "f51c4a0f-eae7-49fe-8a0d-ddacb1a14e20") + ) + (wire + (pts + (xy 58.42 80.01) (xy 106.68 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "01b01360-7d17-4016-be4f-0542851f4943") + ) + (wire + (pts + (xy 187.96 92.71) (xy 198.12 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "021b5fea-32d3-4849-9889-922c8054d756") + ) + (wire + (pts + (xy 132.08 133.35) (xy 134.62 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0334cb76-0da0-47e5-b377-987535ee2e45") + ) + (wire + (pts + (xy 190.5 39.37) (xy 190.5 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "038e6561-a24f-47ce-9921-d2ccc5209a03") + ) + (wire + (pts + (xy 97.79 113.03) (xy 106.68 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "04dd69a2-f0ff-4a70-b7e9-190efcce66eb") + ) + (wire + (pts + (xy 53.34 171.45) (xy 76.2 171.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0541dfee-349a-4487-b6f6-9183292d02c8") + ) + (wire + (pts + (xy 156.21 120.65) (xy 156.21 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "082e028c-0f47-462c-929c-c62a0907c4fe") + ) + (wire + (pts + (xy 248.92 105.41) (xy 248.92 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0d8fc908-70a7-46ca-b7f8-7ad2a4551d89") + ) + (wire + (pts + (xy 91.44 138.43) (xy 91.44 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0e176d05-917d-439a-bd7e-ed89619258a3") + ) + (wire + (pts + (xy 220.98 46.99) (xy 220.98 36.83) + ) + (stroke + (width 0) + (type default) + ) + (uuid "0f1fc710-960e-4068-ad14-5c623ba0e482") + ) + (wire + (pts + (xy 127 133.35) (xy 127 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "101a57f1-e5a2-4c77-9597-7a3cb56af017") + ) + (wire + (pts + (xy 208.28 100.33) (xy 208.28 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "10627087-8adb-4aa6-bbc2-581e888ee85d") + ) + (wire + (pts + (xy 106.68 118.11) (xy 40.64 118.11) + ) + (stroke + (width 0) + (type default) + ) + (uuid "10c9e2f5-03c3-48db-b143-61c74b8f5825") + ) + (wire + (pts + (xy 35.56 102.87) (xy 35.56 168.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "11203d84-a1bf-4609-8298-d1ce5d57c2bc") + ) + (wire + (pts + (xy 119.38 31.75) (xy 119.38 34.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "12bbccd6-4fa1-4859-9a81-c93d2bb80270") + ) + (wire + (pts + (xy 208.28 92.71) (xy 210.82 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "12bd4804-4622-4e7c-8735-346133db9aa0") + ) + (wire + (pts + (xy 91.44 125.73) (xy 106.68 125.73) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1362f18f-2b0b-4342-829a-1c5180402767") + ) + (wire + (pts + (xy 238.76 105.41) (xy 238.76 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "16419b38-2353-4141-b709-640e23ffd4c7") + ) + (wire + (pts + (xy 104.14 135.89) (xy 101.6 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "17d57eae-19e4-41ea-becf-63b396cae907") + ) + (wire + (pts + (xy 177.8 92.71) (xy 177.8 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "18f68149-9594-4600-91ed-2f151da35b6a") + ) + (wire + (pts + (xy 198.12 105.41) (xy 198.12 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1c90e8c5-0170-4edf-aed2-7b2f931f66f0") + ) + (wire + (pts + (xy 91.44 125.73) (xy 91.44 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "1eac04db-3330-4645-8fa1-ffc8b13c2f67") + ) + (wire + (pts + (xy 190.5 39.37) (xy 127 39.37) + ) + (stroke + (width 0) + (type default) + ) + (uuid "200d2d1d-8552-41c7-84c2-95913ef5798c") + ) + (wire + (pts + (xy 106.68 102.87) (xy 35.56 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "20e01693-2d30-4086-b48a-9314c9bd0c66") + ) + (wire + (pts + (xy 157.48 83.82) (xy 157.48 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "225065de-f6dc-42b5-a3fc-66d4aba8b68f") + ) + (wire + (pts + (xy 167.64 102.87) (xy 167.64 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "243baa1b-c888-4847-9ba9-e6b88703daa2") + ) + (wire + (pts + (xy 231.14 46.99) (xy 231.14 34.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "27a55350-f826-44df-a886-76049be4ed64") + ) + (wire + (pts + (xy 177.8 92.71) (xy 179.07 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2926c546-1058-403e-abfc-d659dbe9b2c9") + ) + (wire + (pts + (xy 167.64 92.71) (xy 166.37 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2a7e9b06-174d-4ce5-8b78-def70b1bbad2") + ) + (wire + (pts + (xy 170.18 41.91) (xy 170.18 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2b410437-00dd-496b-bc25-784248d362d0") + ) + (wire + (pts + (xy 50.8 92.71) (xy 106.68 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2bb9db6d-75ac-45b7-bff8-b4634f057b0c") + ) + (wire + (pts + (xy 124.46 74.93) (xy 124.46 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2d4126de-b57d-423d-b2cb-b7037e4f974c") + ) + (wire + (pts + (xy 149.86 92.71) (xy 151.13 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2f9623f1-2734-4e4b-bf74-89ad31c42591") + ) + (wire + (pts + (xy 198.12 113.03) (xy 208.28 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "2fde4a76-72b5-4242-bb94-489059d91d29") + ) + (wire + (pts + (xy 127 39.37) (xy 127 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "30905fe1-54bd-40ca-b5b8-8ef5950c8e5b") + ) + (wire + (pts + (xy 91.44 135.89) (xy 93.98 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "30b0ee5a-657e-462e-9ef8-14b0c8b6d051") + ) + (wire + (pts + (xy 55.88 156.21) (xy 55.88 168.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "31fbc1e0-c739-4104-8ce5-e570bca5caf5") + ) + (wire + (pts + (xy 198.12 100.33) (xy 198.12 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "341a909b-3e01-41c9-a250-ed97e6342b57") + ) + (wire + (pts + (xy 97.79 140.97) (xy 97.79 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "36b2533b-ed69-44c1-a9e4-a9ff18cd636d") + ) + (wire + (pts + (xy 134.62 44.45) (xy 134.62 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "38373c77-4179-4017-9b07-55737e00758f") + ) + (wire + (pts + (xy 106.68 128.27) (xy 104.14 128.27) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3a85d24d-fd08-4462-9d29-350aea96a45b") + ) + (wire + (pts + (xy 210.82 54.61) (xy 220.98 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3acf8d21-f397-4d14-bb9c-ac2ba40626d9") + ) + (wire + (pts + (xy 97.79 115.57) (xy 106.68 115.57) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3b610b5b-cd65-4465-9b59-2dfe01b2fa49") + ) + (wire + (pts + (xy 228.6 92.71) (xy 238.76 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3cffcd67-5775-4f5c-9c8d-e96fd902f819") + ) + (wire + (pts + (xy 157.48 92.71) (xy 158.75 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "3f8cff4d-01ed-4c67-865d-78acada4e98c") + ) + (wire + (pts + (xy 177.8 92.71) (xy 167.64 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "41cde22a-201a-41d1-ba16-3613816ffecc") + ) + (wire + (pts + (xy 218.44 113.03) (xy 228.6 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4361b374-e896-4544-b17e-f1a02cbc4206") + ) + (wire + (pts + (xy 248.92 92.71) (xy 259.08 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "43f0a889-27f0-42b6-8d6c-67b846ebf054") + ) + (wire + (pts + (xy 104.14 138.43) (xy 104.14 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "44262dc8-4db9-4542-a405-41f1d793143d") + ) + (wire + (pts + (xy 43.18 138.43) (xy 43.18 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "44f85e12-ec7d-4fee-b24d-e19395d6ae05") + ) + (wire + (pts + (xy 160.02 44.45) (xy 149.86 44.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4843d904-6cf1-4d01-9696-7d6fd1984eb3") + ) + (wire + (pts + (xy 228.6 113.03) (xy 238.76 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "48f43fe5-47ab-4f1e-96da-31c5c86c0db4") + ) + (wire + (pts + (xy 50.8 138.43) (xy 50.8 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "49ace52b-33ee-4165-b426-4f6b941a2cb8") + ) + (wire + (pts + (xy 58.42 138.43) (xy 58.42 80.01) + ) + (stroke + (width 0) + (type default) + ) + (uuid "49f1a184-458e-4b06-a987-6068552856d5") + ) + (wire + (pts + (xy 156.21 113.03) (xy 147.32 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4aaf00c8-ed12-4743-8cbc-b260095092e5") + ) + (wire + (pts + (xy 220.98 54.61) (xy 220.98 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4af7c932-f3c9-45a1-ac04-c6c02dce441e") + ) + (wire + (pts + (xy 241.3 54.61) (xy 241.3 52.07) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4ba32684-f3a7-47f6-b6c2-5b1df419f92b") + ) + (wire + (pts + (xy 187.96 83.82) (xy 187.96 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4d3d8c41-ef94-4ee5-b58c-068393b0cd22") + ) + (wire + (pts + (xy 187.96 113.03) (xy 198.12 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4eeb7ec6-ac25-4818-9200-2af1897f6997") + ) + (wire + (pts + (xy 208.28 92.71) (xy 198.12 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "4f29e12b-89a9-4385-8d47-6eb0965d975b") + ) + (wire + (pts + (xy 187.96 100.33) (xy 187.96 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "51070923-a0f6-4ec0-a6bf-4b07a25e97d1") + ) + (wire + (pts + (xy 124.46 133.35) (xy 127 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "53415b67-cc71-4a96-b2e7-99cd8f575fb0") + ) + (wire + (pts + (xy 156.21 83.82) (xy 157.48 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5674c12e-aadd-4418-ae46-8d53026c4985") + ) + (wire + (pts + (xy 149.86 44.45) (xy 149.86 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5a6cf983-95f2-4482-8b99-a2d5298d94f1") + ) + (wire + (pts + (xy 106.68 82.55) (xy 99.06 82.55) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5bd63340-5bc9-4369-900b-cac9e332ac83") + ) + (wire + (pts + (xy 187.96 92.71) (xy 186.69 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "5bda7a58-5622-4577-b385-a7064c8514fe") + ) + (wire + (pts + (xy 167.64 113.03) (xy 177.8 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6034ce6a-5ab8-4261-b528-ded2d9181fbf") + ) + (wire + (pts + (xy 73.66 173.99) (xy 50.8 173.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "61f97ac7-161f-4eda-a8b2-ccd4df98897a") + ) + (wire + (pts + (xy 91.44 146.05) (xy 97.79 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "65739c7a-0382-46ac-930e-630a2605aa7d") + ) + (wire + (pts + (xy 156.21 92.71) (xy 157.48 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "65c767f6-56c6-4639-9116-c0ac76d50417") + ) + (wire + (pts + (xy 231.14 34.29) (xy 119.38 34.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "67bd8039-2db0-4da8-88f4-d300ec1fbb8e") + ) + (wire + (pts + (xy 149.86 52.07) (xy 149.86 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6803b30b-8dfa-4d01-87b7-2c92309c2cbc") + ) + (wire + (pts + (xy 167.64 95.25) (xy 167.64 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "69ba1dc7-d002-4198-b836-a1b4734cc2ed") + ) + (wire + (pts + (xy 167.64 110.49) (xy 167.64 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a11bd8c-bbb8-4159-b51d-7044ce964c34") + ) + (wire + (pts + (xy 210.82 52.07) (xy 210.82 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a2b2485-65ba-452c-8bc0-5eee0d7aeda3") + ) + (wire + (pts + (xy 208.28 113.03) (xy 218.44 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6a95da9b-a206-4fa0-8b8d-85bce7ccea03") + ) + (wire + (pts + (xy 215.9 92.71) (xy 218.44 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6c2d9ac2-7c86-4fc9-a0cc-0ae8f511b3ee") + ) + (wire + (pts + (xy 228.6 92.71) (xy 228.6 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6d766d9d-07fc-48ca-9a5c-7f73683807fd") + ) + (wire + (pts + (xy 106.68 95.25) (xy 73.66 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6e5021cc-669e-4068-8fbe-b11e46ea9924") + ) + (wire + (pts + (xy 218.44 113.03) (xy 218.44 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "6f35706b-f6ab-45a4-958d-9ad22dcedbd8") + ) + (wire + (pts + (xy 228.6 105.41) (xy 228.6 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "706204c4-169b-4882-a686-b94aedfa27e4") + ) + (wire + (pts + (xy 104.14 146.05) (xy 104.14 143.51) + ) + (stroke + (width 0) + (type default) + ) + (uuid "719b39fe-a079-4ef3-9038-73020e18ff90") + ) + (wire + (pts + (xy 127 133.35) (xy 129.54 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "72737af5-3819-46f7-ada5-04ec2aa8ef9f") + ) + (wire + (pts + (xy 238.76 100.33) (xy 238.76 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "72f6da72-f3c8-464b-b065-3ae32d7e6e34") + ) + (wire + (pts + (xy 160.02 54.61) (xy 149.86 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7664f194-0d0e-4481-9511-13f87d9925ff") + ) + (wire + (pts + (xy 177.8 100.33) (xy 177.8 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "76a272e5-0751-41cd-b08f-a1de46215fb1") + ) + (wire + (pts + (xy 40.64 118.11) (xy 40.64 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "797245ad-d084-4c48-a95f-f66a6e358af5") + ) + (wire + (pts + (xy 259.08 95.25) (xy 259.08 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "79f1ccac-988b-435e-bf64-a5c5815d8e21") + ) + (wire + (pts + (xy 121.92 72.39) (xy 121.92 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7a6a8fb3-eaae-4316-b652-0973e3c50a84") + ) + (wire + (pts + (xy 129.54 72.39) (xy 129.54 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7b194fd6-b397-4fa3-8529-36b8a94ba352") + ) + (wire + (pts + (xy 228.6 92.71) (xy 228.6 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7cac563c-2d60-41cb-ad82-b68d6d858fa4") + ) + (wire + (pts + (xy 53.34 97.79) (xy 106.68 97.79) + ) + (stroke + (width 0) + (type default) + ) + (uuid "7d26ee6e-50fd-47ec-a4bc-7eec1f9c3541") + ) + (wire + (pts + (xy 76.2 171.45) (xy 76.2 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8015febb-d9b6-4035-93a4-ee2fedfc3d07") + ) + (wire + (pts + (xy 35.56 168.91) (xy 40.64 168.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "806b901f-ec9b-4dd4-9427-262d6540a3b2") + ) + (wire + (pts + (xy 147.32 92.71) (xy 149.86 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "81560084-1590-4c81-bd65-063ac29f8a26") + ) + (wire + (pts + (xy 121.92 36.83) (xy 121.92 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8228f07d-881a-4d61-8fc4-b18963f314f9") + ) + (wire + (pts + (xy 76.2 105.41) (xy 106.68 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8247243d-a3e3-4caf-941f-abbef9645fe5") + ) + (wire + (pts + (xy 170.18 54.61) (xy 180.34 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "83d80158-649a-41df-b8e0-46fab115d78a") + ) + (wire + (pts + (xy 248.92 113.03) (xy 259.08 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8497f319-bd7e-405e-9e71-443eff4844ef") + ) + (wire + (pts + (xy 238.76 92.71) (xy 248.92 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "84991301-830e-45ff-a66d-758e2f6b8072") + ) + (wire + (pts + (xy 259.08 86.36) (xy 259.08 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "866aefe0-624e-4861-8b51-2f1e393086d3") + ) + (wire + (pts + (xy 208.28 105.41) (xy 208.28 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "867f9c2f-88fb-45fc-8365-cba77a28ead9") + ) + (wire + (pts + (xy 43.18 100.33) (xy 106.68 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "8a3aad5d-93b0-4204-9e89-68cceb93f92c") + ) + (wire + (pts + (xy 210.82 46.99) (xy 210.82 36.83) + ) + (stroke + (width 0) + (type default) + ) + (uuid "903fc96c-12bf-4b95-9029-e0d3bf2f7784") + ) + (wire + (pts + (xy 63.5 135.89) (xy 63.5 138.43) + ) + (stroke + (width 0) + (type default) + ) + (uuid "92a802ff-28f7-4179-b067-568fe49b1e93") + ) + (wire + (pts + (xy 182.88 102.87) (xy 182.88 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9301e8c5-7935-41c6-820b-73744fa41c9b") + ) + (wire + (pts + (xy 40.64 168.91) (xy 40.64 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9370132e-6cdd-4962-b602-6a98a72b0f3b") + ) + (wire + (pts + (xy 73.66 95.25) (xy 73.66 173.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "93baef22-8824-4413-82d5-3dd9b22846b7") + ) + (wire + (pts + (xy 231.14 54.61) (xy 241.3 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "94ce5976-b4ff-4acd-8bf0-67914b0bd5bd") + ) + (wire + (pts + (xy 129.54 41.91) (xy 129.54 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "96889d0f-846a-47da-a837-782e0958a7ac") + ) + (wire + (pts + (xy 78.74 168.91) (xy 78.74 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9c5b1d5b-ddd4-42de-8a15-f75b6e6eed38") + ) + (wire + (pts + (xy 160.02 46.99) (xy 160.02 44.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9e229e0a-dbf4-429f-9814-3c017eeb2e2b") + ) + (wire + (pts + (xy 220.98 54.61) (xy 231.14 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "9e3f9597-b3f7-4b68-b528-9c5c753ebfd4") + ) + (wire + (pts + (xy 190.5 52.07) (xy 190.5 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a00b904d-1493-4466-ae9b-16a4f2c87320") + ) + (wire + (pts + (xy 180.34 54.61) (xy 190.5 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a2fd12e9-4c56-4598-803b-dd9d9873074d") + ) + (wire + (pts + (xy 160.02 54.61) (xy 170.18 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a622cc84-2873-4bbb-ac97-17b29c0194fc") + ) + (wire + (pts + (xy 97.79 146.05) (xy 104.14 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a6af170a-4801-4efe-9bf9-863dbe196d17") + ) + (wire + (pts + (xy 99.06 64.77) (xy 91.44 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a76d9662-4f7e-4292-9b2e-5467c59f5e59") + ) + (wire + (pts + (xy 218.44 83.82) (xy 218.44 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "a77555d5-f037-4f5b-8455-a026047edd6d") + ) + (wire + (pts + (xy 91.44 64.77) (xy 91.44 67.31) + ) + (stroke + (width 0) + (type default) + ) + (uuid "aaca9183-9418-4e56-983f-6b8f48c0c990") + ) + (wire + (pts + (xy 78.74 120.65) (xy 106.68 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "af6a8beb-822f-41ba-99d6-0c8cb05d861f") + ) + (wire + (pts + (xy 200.66 39.37) (xy 200.66 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "afb3a857-b115-40a0-ba02-afebac347185") + ) + (wire + (pts + (xy 210.82 36.83) (xy 121.92 36.83) + ) + (stroke + (width 0) + (type default) + ) + (uuid "afd4df4e-6fbd-47a7-b491-7822b53cd681") + ) + (wire + (pts + (xy 177.8 83.82) (xy 180.34 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b130293e-429e-4300-9868-29f8c76c6903") + ) + (wire + (pts + (xy 71.12 90.17) (xy 71.12 176.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b29d935d-b34c-42a6-8a29-cba2af432f73") + ) + (wire + (pts + (xy 149.86 44.45) (xy 134.62 44.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b6391cf4-7e7c-4fe8-9e4a-67b631054de4") + ) + (wire + (pts + (xy 190.5 54.61) (xy 200.66 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b7486556-752a-4c45-ae2b-611ce8bc5f1b") + ) + (wire + (pts + (xy 104.14 128.27) (xy 104.14 135.89) + ) + (stroke + (width 0) + (type default) + ) + (uuid "b81d541e-a203-4405-9828-3f34f13a3ec8") + ) + (wire + (pts + (xy 119.38 34.29) (xy 119.38 74.93) + ) + (stroke + (width 0) + (type default) + ) + (uuid "baf1c717-efbb-43be-afc4-68b5f3a0d674") + ) + (wire + (pts + (xy 200.66 52.07) (xy 200.66 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bc453b2e-4dba-4d85-9d74-21c5f38aad40") + ) + (wire + (pts + (xy 55.88 138.43) (xy 55.88 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "bd259c77-f715-4c33-b6f4-cd8b0ed3a9af") + ) + (wire + (pts + (xy 48.26 87.63) (xy 106.68 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c062f8d8-6f39-44f0-b8b7-c7187330911f") + ) + (wire + (pts + (xy 91.44 143.51) (xy 91.44 146.05) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c0ff0e96-a70b-4042-9434-97f175383fee") + ) + (wire + (pts + (xy 200.66 54.61) (xy 210.82 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c197e6e6-c1e5-4817-91ad-8de77a1da32f") + ) + (wire + (pts + (xy 71.12 176.53) (xy 48.26 176.53) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c2e12338-b564-4a72-908e-0fb87844db4f") + ) + (wire + (pts + (xy 151.13 83.82) (xy 149.86 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c3d01502-9336-4054-85df-f736c805a56c") + ) + (wire + (pts + (xy 60.96 156.21) (xy 60.96 158.75) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c513dbe1-feb7-4e7e-b572-c00f88715430") + ) + (wire + (pts + (xy 226.06 83.82) (xy 228.6 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c58015a3-b861-4576-bfdf-25eb288da935") + ) + (wire + (pts + (xy 180.34 41.91) (xy 170.18 41.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c7f3c3b0-3ce6-4980-a52c-8c352803a31e") + ) + (wire + (pts + (xy 160.02 52.07) (xy 160.02 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c81dc6d6-a576-4a33-953d-ea4ff999e320") + ) + (wire + (pts + (xy 180.34 41.91) (xy 180.34 46.99) + ) + (stroke + (width 0) + (type default) + ) + (uuid "c923a82d-c62a-42a7-bc0d-a71e924abc8e") + ) + (wire + (pts + (xy 48.26 138.43) (xy 48.26 87.63) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ca300cae-f290-4168-82a2-b55f5667b9d6") + ) + (wire + (pts + (xy 170.18 41.91) (xy 129.54 41.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ca3b8cef-afcb-4bba-90e7-4eb88cef8c05") + ) + (wire + (pts + (xy 187.96 102.87) (xy 187.96 105.41) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ca633c81-e470-4f42-bbf2-cf0938631557") + ) + (wire + (pts + (xy 147.32 102.87) (xy 167.64 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cb684f5b-94d0-4e2f-ad5f-d74ff555af0d") + ) + (wire + (pts + (xy 248.92 100.33) (xy 248.92 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cd252344-9cf4-4917-a7ae-ad9abdb3b500") + ) + (wire + (pts + (xy 53.34 156.21) (xy 53.34 171.45) + ) + (stroke + (width 0) + (type default) + ) + (uuid "cdd5c54b-d373-4b80-8415-277c4695c01d") + ) + (wire + (pts + (xy 200.66 39.37) (xy 190.5 39.37) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ce944c57-7947-42de-a349-81656d6223e5") + ) + (wire + (pts + (xy 167.64 100.33) (xy 167.64 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d0eb04d4-13fd-4761-942b-f8894c508a58") + ) + (wire + (pts + (xy 129.54 133.35) (xy 132.08 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d3db2503-ed48-400a-94db-23db9824486b") + ) + (wire + (pts + (xy 238.76 113.03) (xy 248.92 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d5c3291a-657a-496c-b62a-db542588b49a") + ) + (wire + (pts + (xy 124.46 72.39) (xy 121.92 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d5e3f183-b2a8-4c16-96ea-839996e7cc2e") + ) + (wire + (pts + (xy 218.44 83.82) (xy 220.98 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d63d6905-e7ee-4784-b560-48079e223070") + ) + (wire + (pts + (xy 99.06 82.55) (xy 99.06 64.77) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d73b5fdf-cb08-4643-8da9-c4c9e69952fc") + ) + (wire + (pts + (xy 50.8 173.99) (xy 50.8 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "d8c5105b-4e5e-4625-a1b5-0b97bda6446b") + ) + (wire + (pts + (xy 185.42 83.82) (xy 187.96 83.82) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dc174845-ab37-4a70-8271-20561876c1ef") + ) + (wire + (pts + (xy 218.44 92.71) (xy 220.98 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "dddb9a08-8fbf-4b3c-a792-973e17af213b") + ) + (wire + (pts + (xy 132.08 72.39) (xy 129.54 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e0aa98c4-681c-47ac-9216-22f010e98bac") + ) + (wire + (pts + (xy 177.8 95.25) (xy 177.8 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e30b35ba-dbfd-47fc-ac76-f492dfa28d00") + ) + (wire + (pts + (xy 187.96 92.71) (xy 187.96 95.25) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e3cac8b5-d210-4162-a62e-68090a50705e") + ) + (wire + (pts + (xy 149.86 83.82) (xy 149.86 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e7225904-f055-4da2-b877-f583c7d13c3c") + ) + (wire + (pts + (xy 55.88 168.91) (xy 78.74 168.91) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e755b3b0-e2ea-494b-82ad-d9d34f45972f") + ) + (wire + (pts + (xy 226.06 92.71) (xy 228.6 92.71) + ) + (stroke + (width 0) + (type default) + ) + (uuid "e9e8f785-02cf-4d44-a696-d48fbfd81c39") + ) + (wire + (pts + (xy 231.14 52.07) (xy 231.14 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ea3dec8a-f58a-4f5a-9aad-33de21fe9e94") + ) + (wire + (pts + (xy 180.34 52.07) (xy 180.34 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "eb93a11b-679c-4ce6-9756-2c2190d60ea9") + ) + (wire + (pts + (xy 187.96 110.49) (xy 187.96 113.03) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ec116166-8260-4b95-b6f1-4425b4671042") + ) + (wire + (pts + (xy 170.18 52.07) (xy 170.18 54.61) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ee4e2750-aa49-453d-a179-e920ef239993") + ) + (wire + (pts + (xy 220.98 36.83) (xy 210.82 36.83) + ) + (stroke + (width 0) + (type default) + ) + (uuid "eeb24d67-ff1b-49a2-9bd1-8fe196b93eca") + ) + (wire + (pts + (xy 106.68 90.17) (xy 71.12 90.17) + ) + (stroke + (width 0) + (type default) + ) + (uuid "ef1cf853-57c9-42e5-831b-878699f9fbb6") + ) + (wire + (pts + (xy 121.92 133.35) (xy 124.46 133.35) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f15fae39-e10d-4a18-8407-1c2f8f5b238c") + ) + (wire + (pts + (xy 48.26 176.53) (xy 48.26 156.21) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f1eb0215-4854-4f14-8aab-676f3a4c1230") + ) + (wire + (pts + (xy 55.88 107.95) (xy 106.68 107.95) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f6089199-c6a2-44d8-8029-f2990a28008d") + ) + (wire + (pts + (xy 53.34 138.43) (xy 53.34 97.79) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f6a97b6d-310e-4048-98f4-492e8e0c5267") + ) + (wire + (pts + (xy 182.88 120.65) (xy 156.21 120.65) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f8bf9708-952e-4be3-b95a-4fb7fe84b11a") + ) + (wire + (pts + (xy 218.44 92.71) (xy 218.44 100.33) + ) + (stroke + (width 0) + (type default) + ) + (uuid "f9272727-72bf-4e4e-b859-50fa9dcddcc4") + ) + (wire + (pts + (xy 241.3 46.99) (xy 241.3 34.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fab5e756-5664-4ccb-9fff-c60895dad719") + ) + (wire + (pts + (xy 241.3 34.29) (xy 231.14 34.29) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fb9a3171-3953-42c3-b17f-68ea58486202") + ) + (wire + (pts + (xy 187.96 102.87) (xy 182.88 102.87) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fc79143b-ee8f-42ed-a382-ee9edf1251ca") + ) + (wire + (pts + (xy 132.08 74.93) (xy 132.08 72.39) + ) + (stroke + (width 0) + (type default) + ) + (uuid "fe380821-8e1f-4937-84ff-78fd51342c6c") + ) + (symbol + (lib_id "mylib:DUNGEON_LOGO") + (at 179.07 160.02 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "00000000-0000-0000-0000-00005c595236") + (property "Reference" "LOGO1" + (at 182.0672 158.8516 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "DUNGEON_LOGO" + (at 182.0672 161.163 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "mykicadlibs:donjon-2000" + (at 179.07 160.02 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 179.07 160.02 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 179.07 160.02 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "LOGO1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 200.66 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "04ff1319-25d4-4ea8-8b54-a0923fb4b634") + (property "Manufacturer" "Yageo" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603MPX7R9BB103" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603MPX79BB103" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C20" + (at 203.2 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10n" + (at 203.2 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 200.66 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "ae282c87-6e82-49bf-b38f-fa6f016e515e") + ) + (pin "1" + (uuid "6b1035e8-cc3a-4700-8577-f3a17b6c007b") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C20") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 208.28 102.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "090673d2-9c54-4676-aa5f-842770e904f4") + (property "Manufacturer" "Murata" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C2A270FA16D" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.162" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C2A270FA6D" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C9" + (at 210.82 101.6062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "27p" + (at 210.82 104.1462 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 208.28 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "6f39bc8a-61b2-419d-b35a-4929559aa9b1") + ) + (pin "1" + (uuid "3f89d6f2-1a79-40dd-91b9-64226e9bf942") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C9") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 210.82 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "0bb3580a-06a0-49be-aa3c-35965c7f0d01") + (property "Manufacturer" "Murata" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GRM188R6YA225MA12J" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GRM188R6YA225MA2J " + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C21" + (at 213.36 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "2.2µ" + (at 213.36 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 210.82 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "6dc89a22-e31a-4142-8c98-5f9a9ba69487") + ) + (pin "1" + (uuid "dbe7505d-9518-43f9-8cdd-492706c777b5") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C21") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 170.18 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "10d5af56-561a-4afa-8112-6a670c4d2560") + (property "Manufacturer" "Murata" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GRM188R6YA225MA12J" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GRM188R6YA225MA2J " + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C17" + (at 172.72 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "2.2µ" + (at 172.72 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 170.18 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "c492c37b-bae0-4557-9229-47efe48a8145") + ) + (pin "1" + (uuid "4404c0df-af82-41be-bd1d-7018504f0f04") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C17") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 63.5 135.89 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1b09a910-9158-4a95-892a-396f9f42a490") + (property "Reference" "#PWR03" + (at 63.5 139.7 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "+3V3" + (at 63.5 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 63.5 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 63.5 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 63.5 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "5a60a257-eda3-404f-9e81-84116f30c08c") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR03") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 220.98 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "1e8fe888-a612-4dc5-9ac6-0db7f0c75bf3") + (property "Manufacturer" "Yageo" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603MPX7R9BB103" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603MPX79BB103" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C22" + (at 223.52 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10n" + (at 223.52 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 220.98 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "e8064071-85ec-4236-9f49-f47115206ba2") + ) + (pin "1" + (uuid "396d1981-9ce4-4748-9752-a7197f8084ed") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C22") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 223.52 92.71 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "23002edf-e462-4f53-a2b9-1cff9b09a797") + (property "Manufacturer" "Murata" + (at 223.52 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C2A680FA16D" + (at 223.52 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.124" + (at 223.52 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 223.52 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C2A680FA6D" + (at 223.52 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C12" + (at 223.5263 86.36 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "68p" + (at 223.5263 88.9 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 223.52 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 223.52 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 223.52 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "18ac25fc-9e3c-483e-93af-b19b4f3686fb") + ) + (pin "1" + (uuid "03863339-22e6-452a-b85b-72f05a852adf") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C12") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 91.44 140.97 180) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "2c0f1152-85c7-4109-bc6c-118305a511a6") + (property "Manufacturer" "Murata" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C2A270FA16D" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.162" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C2A270FA6D" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C26" + (at 88.9 142.2338 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "27p" + (at 88.9 139.6938 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 91.44 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "2f7816ea-5e64-40a1-a457-ff1b6c6f9634") + ) + (pin "2" + (uuid "87d1a572-01fb-4adf-8ebb-fe12018f91a6") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C26") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 198.12 102.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "2d540ed6-e398-4b6a-8232-44b9167bdf67") + (property "Manufacturer" "Murata" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885G2A101FA16D" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.133" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885G2A101FA6" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C8" + (at 200.66 101.6062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "100p" + (at 200.66 104.1462 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 198.12 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "156b8b1c-a3f7-4bb1-a56e-bd38e288521e") + ) + (pin "1" + (uuid "10fbb6cf-803c-4a5d-8b7a-75eb8b2c7de3") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C8") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 187.96 107.95 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "3ffcc1ce-8333-4843-ad43-5873f8a8913c") + (property "Manufacturer" "Murata" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885G2A681FA16D" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.162" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885G2A681FA6D" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C7" + (at 190.5 106.6862 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "680p" + (at 190.5 109.2262 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 187.96 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "bd3951c9-d741-4782-b8ca-cb81ea47110d") + ) + (pin "1" + (uuid "ac5af986-0f2d-42e7-a7ab-72291a5e6cfe") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C7") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:+3V3") + (at 119.38 31.75 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "49aa9b0c-335e-44e3-9c72-9534bac431ad") + (property "Reference" "#PWR06" + (at 119.38 35.56 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "+3V3" + (at 119.38 26.67 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 119.38 31.75 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 119.38 31.75 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"+3V3\"" + (at 119.38 31.75 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "3f70e59e-0cef-47ca-af62-206e29e85ef3") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR06") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 153.67 83.82 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "4a2a7c44-ef14-415d-9487-9777c8c9bb1d") + (property "Manufacturer" "Yageo" + (at 153.67 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603FRNPO9BN152" + (at 153.67 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.228" + (at 153.67 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 153.67 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603FRNPO9BN15" + (at 153.67 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C10" + (at 153.67 77.47 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1500p" + (at 153.67 80.01 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 153.67 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 153.67 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 153.67 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "fab7f080-12f6-4266-b333-01a02b08fd84") + ) + (pin "1" + (uuid "7099b38d-27e2-4cd5-b182-8e86c62242a4") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C10") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 167.64 97.79 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6258eb15-5aa0-488f-b738-1a6d0d8e38ab") + (property "Manufacturer" "Murata" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C1H122FA16D" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.124" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C1H122FA6D" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C2" + (at 170.18 96.5262 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1200p" + (at 170.18 99.0662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 167.64 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "cb90acc9-2c1b-431c-b94a-a939b1077b72") + ) + (pin "1" + (uuid "b5a44ee3-c881-472e-80bf-e225c6e0336b") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 177.8 97.79 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "64e6c5f8-91f5-421a-8522-21f8a8236157") + (property "Manufacturer" "Murata" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885G2A681FA16D" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.162" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885G2A681FA6D" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C4" + (at 180.34 96.5262 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "680p" + (at 180.34 99.0662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 177.8 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "f0195222-fee2-4894-a7cd-789126c2aafe") + ) + (pin "1" + (uuid "65867064-add6-4cbc-a21a-293a5292c23d") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:L") + (at 182.88 92.71 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6751d0cc-74e2-4224-82af-13c6ebbbab7b") + (property "Manufacturer" "Murata" + (at 182.88 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "LQW18ANR33G00D" + (at 182.88 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 182.88 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-LQW18ANR33G00D" + (at 182.88 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.114" + (at 182.88 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "L2" + (at 182.88 87.63 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "330n" + (at 182.88 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Inductor_SMD:L_0603_1608Metric" + (at 182.88 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 182.88 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Inductor" + (at 182.88 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "265758f2-c29c-4673-847c-60935bb2920e") + ) + (pin "2" + (uuid "42eddfae-6f31-4641-af01-6a165f5104dd") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "L2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:TestPoint") + (at 97.79 113.03 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "6a1bc520-1b66-4e26-8051-9236e4cd1883") + (property "Reference" "TP1" + (at 91.44 113.03 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TestPoint" + (at 94.488 110.49 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" + (at 97.79 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 97.79 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "test point" + (at 97.79 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "f3e05b5c-b0ea-4ac8-b055-1da6dc77c10e") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "TP1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "library:SCAFFOLD_DUT_CONNECTOR_TOP") + (at 50.8 58.42 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6b7c3170-d275-4d63-a85d-67ba254252c8") + (property "Reference" "J2" + (at 66.04 57.7849 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "~" + (at 66.04 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (at 58.42 57.15 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 50.8 58.42 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 50.8 58.42 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "12" + (uuid "920eadf2-f937-471c-b65b-63d4908b7441") + ) + (pin "4" + (uuid "c1f95fa3-caeb-4755-8dad-4ca2cb18b34c") + ) + (pin "1" + (uuid "13b7c2a7-349b-4246-a359-3afb7447ed6e") + ) + (pin "2" + (uuid "af31b5f3-f7c1-4f4b-ba08-714e6350f2b6") + ) + (pin "11" + (uuid "9e636750-0461-49e2-980f-38de122d278c") + ) + (pin "15" + (uuid "8c327212-e240-4345-b048-7c4812f4ca14") + ) + (pin "20" + (uuid "e4ed375c-1d90-47c5-bc11-4542613a95da") + ) + (pin "14" + (uuid "1146ab39-de57-4671-a12c-89258d5a72d1") + ) + (pin "7" + (uuid "aa896811-a832-4935-a210-bd47af72e8db") + ) + (pin "18" + (uuid "31aab643-67f7-42d4-8594-e9b7d9388f3b") + ) + (pin "17" + (uuid "deeed06b-08ba-4973-9257-82e51856b3f1") + ) + (pin "13" + (uuid "78d235d7-d4e4-41e9-956d-50e000291365") + ) + (pin "9" + (uuid "b6be83b8-f5ac-496a-b1fe-ff7b77604443") + ) + (pin "5" + (uuid "049093a4-70c5-464f-87a2-0d955d43d924") + ) + (pin "16" + (uuid "a0ad1eee-c2b5-4a16-a834-d25b77f101b4") + ) + (pin "10" + (uuid "4a59d63e-5ba8-4480-963e-17e7a19c985d") + ) + (pin "8" + (uuid "e6ddaa4b-cc5f-48c0-ae07-b156fca5ce4a") + ) + (pin "19" + (uuid "dfc0e144-dc87-4b50-b110-46697ae64665") + ) + (pin "6" + (uuid "74cd16e9-f0b5-4394-ba00-0e0c81b8b319") + ) + (pin "3" + (uuid "f1dd6efb-51b9-4c29-bdba-232c1066c34f") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "J2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 104.14 140.97 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "6b834fe5-6ab5-42ab-98c8-96463a1d8ce8") + (property "Manufacturer" "Murata" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C2A270FA16D" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.162" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C2A270FA6D" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C25" + (at 106.68 139.7062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "27p" + (at 106.68 142.2462 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 104.14 140.97 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "871c751b-0253-4778-84a8-10b2dc0f3517") + ) + (pin "2" + (uuid "1728a7a8-a739-4f7b-81d6-7fd70e754aed") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C25") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:L") + (at 162.56 92.71 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "711b19cf-a163-4da2-9582-d9ad39b5043b") + (property "Manufacturer" "Murata" + (at 162.56 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "LQW18ANR15G00D" + (at 162.56 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.114" + (at 162.56 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 162.56 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-LQW18ANR15G00D" + (at 162.56 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "L1" + (at 162.56 87.63 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "150n" + (at 162.56 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Inductor_SMD:L_0603_1608Metric" + (at 162.56 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 162.56 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Inductor" + (at 162.56 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "470dbdf3-1084-42a9-9af9-5559c3f4757a") + ) + (pin "2" + (uuid "07e862cc-17e5-4f4e-a095-6a5dc93946d8") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "L1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Antenna_Loop") + (at 264.16 92.71 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7a17a2f4-888f-4265-8fd6-76b8baf4237f") + (property "Reference" "AE1" + (at 269.24 92.7099 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1.1µH" + (at 269.24 95.2499 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "library:ANTENNA" + (at 264.16 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 264.16 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Loop antenna" + (at 264.16 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "321709eb-05ab-416b-9416-cdb078cc7d7d") + ) + (pin "1" + (uuid "247f4506-98a2-412d-b224-0d4c10493c19") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "AE1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small") + (at 228.6 102.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "7a67787e-a567-49ae-8eb6-0668cea866f0") + (property "Manufacturer" "Vishay" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CRCW0603680RFKEBC" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "71-CRCW0603680RFKEBC" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "R2" + (at 231.14 101.5999 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "680" + (at 231.14 104.1399 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small symbol" + (at 228.6 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "061a1793-15ad-4a8e-b5b4-821d6c28a277") + ) + (pin "2" + (uuid "d7851b48-5f5f-4b0a-a4b9-8334af33dfd6") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "R2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:TestPoint") + (at 97.79 115.57 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "8753ae84-d77e-48fe-b984-f73886326376") + (property "Reference" "TP2" + (at 91.44 115.57 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TestPoint" + (at 94.488 113.03 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" + (at 97.79 110.49 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 97.79 110.49 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "test point" + (at 97.79 115.57 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "7460f879-37fb-46e9-9068-989eb84181a9") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "TP2") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 149.86 54.61 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "875ff31d-811a-4347-b824-0e2273856a3e") + (property "Reference" "#PWR012" + (at 149.86 60.96 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 149.86 59.69 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 149.86 54.61 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 149.86 54.61 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 149.86 54.61 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "d09ecb24-f52d-4cd7-a75d-679a91dfb389") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR012") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 248.92 102.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom no) + (on_board yes) + (dnp yes) + (fields_autoplaced yes) + (uuid "8eb869eb-78cc-4da9-b1be-b7c6021965a8") + (property "Reference" "C15" + (at 251.46 101.6062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "" + (at 251.46 104.1462 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 248.92 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 248.92 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 248.92 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "a1eb3006-24de-4790-9894-143aa8cb5d68") + ) + (pin "1" + (uuid "14ee7495-05ff-4b8e-9c84-3724550f98d6") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C15") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 182.88 83.82 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "8f386361-c07a-4e42-844f-0a7cecd0f8bb") + (property "Manufacturer" "Walsin" + (at 182.88 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "0603N100F500CT" + (at 182.88 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.108" + (at 182.88 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 182.88 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "791-0603N100F500CT" + (at 182.88 83.82 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C5" + (at 182.8863 77.47 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "10p" + (at 182.8863 80.01 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 182.88 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 182.88 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 182.88 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "34009fc1-1e85-4cd6-9f18-cd7bf33b2381") + ) + (pin "1" + (uuid "7f1d5b93-3230-493c-9b3f-7b7cd58771d4") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C5") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 91.44 67.31 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "908c0455-e5e5-4388-93d5-407bbb3f9255") + (property "Reference" "#PWR08" + (at 91.44 73.66 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 91.44 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 91.44 67.31 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 91.44 67.31 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 91.44 67.31 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "402f48f9-a1bd-4286-b06e-c246c93fc455") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR08") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 160.02 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9a462298-2327-4701-97b3-b544ce827871") + (property "Manufacturer" "Yageo" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603MPX7R9BB103" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603MPX79BB103" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C16" + (at 162.56 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10n" + (at 162.56 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 160.02 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "aacb41cf-9d3a-4c6b-95ce-db2bb6cce549") + ) + (pin "1" + (uuid "87fa314a-7ae0-47c0-881e-39d8f6b33307") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C16") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 190.5 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "9aa01427-33b6-4f86-9535-5ce7a2a55403") + (property "Manufacturer" "Murata" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GRM188R6YA225MA12J" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GRM188R6YA225MA2J " + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C19" + (at 193.04 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "2.2µ" + (at 193.04 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 190.5 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "f6f0a62a-5c1e-4194-a229-1d50ad9c8ec9") + ) + (pin "1" + (uuid "45527dba-a0be-436f-ba54-9bf5934fca6b") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C19") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 149.86 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a073b3eb-02cf-4151-8312-db8a2ff98a1a") + (property "Manufacturer" "Murata" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GRM188R6YA225MA12J" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GRM188R6YA225MA2J " + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C1" + (at 152.4 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "2.2µ" + (at 152.4 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 149.86 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "79737221-78f0-417d-834f-e1c1e5e6e111") + ) + (pin "1" + (uuid "ce8d37c3-853f-4585-a322-1302370c7e66") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 187.96 113.03 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "a364f0e2-f117-48f8-b5d4-a0f5274441c8") + (property "Reference" "#PWR04" + (at 187.96 119.38 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 187.96 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 187.96 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 187.96 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 187.96 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "66247cf3-a096-422d-afcf-926cc82a4b06") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR04") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 231.14 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "aefee008-a5bf-4272-ab8e-fd466d515de8") + (property "Manufacturer" "Murata" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GRM188R6YA225MA12J" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GRM188R6YA225MA2J " + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C23" + (at 233.68 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "2.2µ" + (at 233.68 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 231.14 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "0640fbd6-c5af-434f-b399-8d20308c0dd3") + ) + (pin "1" + (uuid "1adeb84a-3573-47e4-bf43-6204af833376") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C23") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 167.64 113.03 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "bc7ab94d-c41c-4388-9ea2-517421e78246") + (property "Reference" "#PWR02" + (at 167.64 119.38 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 167.64 118.11 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 167.64 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 167.64 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 167.64 113.03 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "6c6675ea-3983-4763-9d0a-1ad8ed88f41d") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR02") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "library:SCAFFOLD_DUT_CONNECTOR_BOT") + (at 50.8 147.32 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "c17e6c8e-fbe0-4da8-9757-80b87eafaf3d") + (property "Reference" "J1" + (at 66.04 146.6849 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "~" + (at 66.04 148.59 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_PinSocket_2.54mm:PinSocket_2x10_P2.54mm_Vertical" + (at 58.42 146.05 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 50.8 147.32 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "" + (at 50.8 147.32 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "8" + (uuid "b91dc579-8abd-4d07-9e06-4e5393f71fb0") + ) + (pin "11" + (uuid "eb5c8b51-2c27-4e8d-a6de-1fdd464a8e5c") + ) + (pin "4" + (uuid "dcaa5dad-63b2-4fbd-bbe7-2e0469f434ae") + ) + (pin "1" + (uuid "41ff60bb-1098-4208-86e9-29426d171409") + ) + (pin "2" + (uuid "74d10423-c6d5-48bf-8ad7-0109bfb922fe") + ) + (pin "6" + (uuid "b6958cbe-92b5-433e-a115-0ca4dc26afbb") + ) + (pin "13" + (uuid "7af61514-6052-4354-9a61-e33ed5c8b5fa") + ) + (pin "14" + (uuid "9b00d612-89e8-45c5-a956-d7c3aab00e62") + ) + (pin "19" + (uuid "a5785a4e-bd99-4617-8c3b-13ba7d1b9009") + ) + (pin "10" + (uuid "1838ce76-e854-4201-8b9a-46a43c4678f3") + ) + (pin "9" + (uuid "9792d6d6-d30d-4cd5-8d86-263da317f998") + ) + (pin "18" + (uuid "b6988600-9e92-486d-8b88-9632b04eb110") + ) + (pin "15" + (uuid "c9141141-ee15-4c53-9623-3a14564c7ca5") + ) + (pin "17" + (uuid "abecd66a-8265-4bd5-92e5-6b687e75e7f1") + ) + (pin "12" + (uuid "836a8ad2-c8ed-44c0-901f-cc9008f6818d") + ) + (pin "16" + (uuid "59e26d20-970e-47cc-8bb4-a396f5079e8c") + ) + (pin "7" + (uuid "84125365-9165-467a-928a-44d82087bca4") + ) + (pin "5" + (uuid "488f2198-3d97-4e62-a98c-514d84bacb5e") + ) + (pin "20" + (uuid "70f7343c-f851-47c2-85b9-d244cb0d065f") + ) + (pin "3" + (uuid "c01f0011-30d7-4dec-b89a-31ccc0f66459") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "J1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "library:TRF7970A") + (at 127 102.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "c5a43d89-4afd-40e6-8bbf-8deceffe50da") + (property "Manufacturer" "Texas Instruments" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "TRF7970ARHBR" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "5.56" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "595-TRF7970ARHBR" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "U1" + (at 140.97 72.39 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TRF7970A" + (at 140.97 74.93 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Package_DFN_QFN:QFN-32-1EP_5x5mm_P0.5mm_EP3.45x3.45mm" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "https://www.ti.com/lit/gpn/trf7970a" + (at 127 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Multiprotocol Fully Integrated 13.56-MHz RFID and Near Field Communication (NFC) Transceiver IC" + (at 127 100.33 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "24" + (uuid "a68957a2-9f59-4362-af84-373aee7b289c") + (alternate "SPI_MOSI") + ) + (pin "16" + (uuid "ebd8b4d3-cce4-4654-9060-8426a43cfcb6") + ) + (pin "17" + (uuid "765ac036-0fd5-4d50-aab6-c9624f278cfc") + ) + (pin "6" + (uuid "8d3df575-877f-4505-9b09-b4138c02b3c3") + ) + (pin "21" + (uuid "ce759b70-4018-49b7-bf80-b1f6fe2d82f4") + (alternate "SPI_SS") + ) + (pin "31" + (uuid "707f6407-d3a8-472b-a36b-5480f8748417") + ) + (pin "3" + (uuid "5fe1bd90-98b7-44a1-b6f6-3e457a17b9c1") + ) + (pin "25" + (uuid "e274f286-1375-40a6-9da2-512b54c4e8d8") + ) + (pin "32" + (uuid "0f6f12a8-3c30-4da4-95d3-d641b1ee6cda") + ) + (pin "12" + (uuid "6a2c80c8-98f4-49cb-a6a0-4381c1e3ffc2") + ) + (pin "13" + (uuid "f356e627-bc98-4962-aceb-be36a5b7be9d") + ) + (pin "22" + (uuid "6c23c4f8-48a9-421a-bea8-2165dd078bec") + (alternate "SERIAL_CLK_OUT") + ) + (pin "2" + (uuid "54501cc7-3a4e-4567-bc7f-3edaad6a702f") + ) + (pin "11" + (uuid "73dfe883-63dd-4bc6-991a-19b412f35dbf") + ) + (pin "29" + (uuid "1a718b56-e964-4c31-bad2-0c06b1bf4bc4") + ) + (pin "7" + (uuid "9e308681-52c4-4eb3-86d5-cef19d601bbb") + ) + (pin "14" + (uuid "d2043b81-5223-4eb4-84d1-9071f44949e5") + ) + (pin "28" + (uuid "9fb5cf52-1af1-4fd6-8bdb-a21ac40861ac") + ) + (pin "19" + (uuid "d94e970f-c919-4517-9605-358da00ed9cd") + ) + (pin "20" + (uuid "259ffc48-6ef2-4bc9-b8ef-5eadef8fe863") + ) + (pin "27" + (uuid "c4d1a5b8-aa39-48b6-92a5-4fd19e095a4e") + ) + (pin "26" + (uuid "ff84d941-4f8d-4cd7-9391-51e6a5c75498") + ) + (pin "1" + (uuid "2e9a2be3-7ec7-4861-a737-0c7797e11229") + ) + (pin "15" + (uuid "bee6f9ed-dcb1-4793-bf49-37487750f414") + ) + (pin "4" + (uuid "d53513db-78a9-443f-b9a3-6cb086c5ea64") + ) + (pin "8" + (uuid "1922114f-bc3a-49f9-b209-8af4003b3cf9") + ) + (pin "33" + (uuid "8af4d2aa-2792-495b-8d40-760e38fa2b50") + ) + (pin "30" + (uuid "469b549d-8f4a-4eb5-8d53-86abb7505466") + ) + (pin "23" + (uuid "bf31c44a-8d1c-4019-8180-cccbc13b4d70") + (alternate "SERIAL_DATA_OUT") + ) + (pin "10" + (uuid "8d84a185-1367-4d32-bc11-4b8d6e3cebb3") + ) + (pin "9" + (uuid "b3560912-9fd7-4147-be8d-f3243ee285d3") + ) + (pin "5" + (uuid "04414de6-ba67-486a-83b5-5657136220a8") + ) + (pin "18" + (uuid "eff11411-2585-4e82-8284-5db3dd0a34ee") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "U1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:Crystal_GND2") + (at 97.79 135.89 0) + (mirror y) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "c71aa1f9-1a5d-4b3a-85af-16af5c76bec4") + (property "Manufacturer" "Abracon" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "ABM8G-13.560MHZ-B4Y-T" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.418" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "815-ABM8G-13.5-B4Y-T" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "Y1" + (at 97.79 128.27 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "13.56M" + (at 97.79 130.81 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Crystal:Crystal_SMD_Abracon_ABM8G-4Pin_3.2x2.5mm" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Three pin crystal, GND on pin 2" + (at 97.79 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "3" + (uuid "5a351295-7faa-461f-877e-443744ba678a") + ) + (pin "2" + (uuid "ac6ef887-518c-460b-a129-a8f3de3b1648") + ) + (pin "1" + (uuid "d2383f25-8687-4225-8652-b3240b6b4074") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "Y1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 167.64 107.95 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "cabd1671-46bb-4f47-aa68-37b344e5aea7") + (property "Manufacturer" "Murata" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C1H122FA16D" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.124" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C1H122FA6D" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C3" + (at 170.18 106.6862 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "1200p" + (at 170.18 109.2262 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 167.64 107.95 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "e960d666-beb5-4705-88d4-e2ff28a17838") + ) + (pin "1" + (uuid "2d84da14-1c98-4147-95e6-2ff683b1679d") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 223.52 83.82 90) + (unit 1) + (exclude_from_sim no) + (in_bom no) + (on_board yes) + (dnp yes) + (fields_autoplaced yes) + (uuid "cb028dfd-a993-4c5d-ba41-cbe7f09cd293") + (property "Reference" "C13" + (at 223.5263 77.47 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "" + (at 223.5263 80.01 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 223.52 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 223.52 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 223.52 83.82 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "9af9378e-b6ce-4bca-81a0-a3e11518358b") + ) + (pin "1" + (uuid "757fec93-0815-4d16-b851-19700170c338") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C13") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 153.67 92.71 90) + (mirror x) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "d2bb7a31-cc5d-498c-927b-dc44ec18f848") + (property "Manufacturer" "Yageo" + (at 153.67 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603FRNPO9BN152" + (at 153.67 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.228" + (at 153.67 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 153.67 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603FRNPO9BN15" + (at 153.67 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C11" + (at 153.67 99.06 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "1500p" + (at 153.67 96.52 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 153.67 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 153.67 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 153.67 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "91bc5b91-7bac-44ce-89fb-6ecb1f4bcbdb") + ) + (pin "1" + (uuid "65dcddcd-0d8f-4972-9883-d541b521bbb3") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C11") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 60.96 158.75 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "d57bd3f1-3e8d-410d-b956-708734bb3dc3") + (property "Reference" "#PWR05" + (at 60.96 165.1 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 60.96 163.83 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 60.96 158.75 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 60.96 158.75 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 60.96 158.75 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "326c3841-1abb-4508-986e-936def6894fa") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR05") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:Conn_Coaxial_Small") + (at 218.44 102.87 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "de5f0a58-10bc-4a9f-96f7-252c77bd4758") + (property "Manufacturer" "Molex" + (at 218.44 102.87 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "73412-0110" + (at 218.44 102.87 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 218.44 102.87 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "538-73412-0110" + (at 218.44 102.87 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.703" + (at 218.44 102.87 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "J3" + (at 220.98 102.87 90) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "Conn_Coaxial_Small" + (at 220.98 103.6203 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Connector_Coaxial:U.FL_Molex_MCRF_73412-0110_Vertical" + (at 218.44 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 218.44 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "small coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" + (at 218.44 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "fb8922f8-17b8-4ea5-a4a1-ca3e3e0147cd") + ) + (pin "1" + (uuid "5cec8403-afab-47f4-befa-a3152883e426") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "J3") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Connector:TestPoint") + (at 259.08 86.36 270) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (uuid "df76565e-2413-4df9-815c-e068b1ca5ea6") + (property "Reference" "TP4" + (at 265.43 86.36 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "TestPoint" + (at 262.382 88.9 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" + (at 259.08 91.44 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 259.08 91.44 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "test point" + (at 259.08 86.36 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "2bed1ad3-ba6e-4637-bfa0-75ba289b91d9") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "TP4") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 180.34 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e53b3512-2272-4faa-bd3f-923fc18ec4e9") + (property "Manufacturer" "Yageo" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603MPX7R9BB103" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603MPX79BB103" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C18" + (at 182.88 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10n" + (at 182.88 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 180.34 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "b8b1d5b2-6cfe-4a70-903b-3054f629205d") + ) + (pin "1" + (uuid "8dad8c43-d548-470f-b40b-96d74d7334f1") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C18") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 187.96 97.79 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "e6ef807e-79bd-4b27-97a1-cb30bec4112d") + (property "Manufacturer" "Walsin" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "0603N221F250CT" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.119" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "791-0603N221F250CT" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C6" + (at 190.5 96.5262 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "220p" + (at 190.5 99.0662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 187.96 97.79 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "8d9257e0-91a1-49e0-bc9a-83e5229353c3") + ) + (pin "1" + (uuid "71a70c73-7c69-4877-a26e-a6b27511b9ea") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C6") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 97.79 146.05 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ea3be7e8-d929-4b96-bbb6-484b09e64ba2") + (property "Reference" "#PWR07" + (at 97.79 152.4 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 97.79 151.13 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 97.79 146.05 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 97.79 146.05 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 97.79 146.05 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "131f193f-f1c7-48d5-a6f9-577a053942ac") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR07") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:R_Small") + (at 213.36 92.71 90) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f0263d8c-e0ef-49ea-8eb8-3a068cf07b03") + (property "Manufacturer" "Vishay" + (at 213.36 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CRCW06030000Z0EI" + (at 213.36 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 213.36 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 213.36 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "71-CRCW06030000Z0EI" + (at 213.36 92.71 90) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "R1" + (at 213.36 87.63 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "0" + (at 213.36 90.17 90) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" + (at 213.36 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 213.36 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Resistor, small symbol" + (at 213.36 92.71 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "5c733f20-856e-45f8-be10-60330c3725bf") + ) + (pin "2" + (uuid "5f300e4c-487f-49bc-b82a-336b4b28de98") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "R1") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 241.3 49.53 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f293f62f-7d8f-4da9-a1cc-aee5007551fe") + (property "Manufacturer" "Yageo" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "CC0603MPX7R9BB103" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.095" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "603-CC0603MPX79BB103" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C24" + (at 243.84 48.2662 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "10n" + (at 243.84 50.8062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 241.3 49.53 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "0fa5df73-02b6-4367-9655-4920aee2b528") + ) + (pin "1" + (uuid "86d979a7-1218-4a97-9399-70828135bd61") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C24") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "power:GND") + (at 127 135.89 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "f4fd142c-ee54-49b3-9a28-d17841c4fdd0") + (property "Reference" "#PWR01" + (at 127 142.24 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Value" "GND" + (at 127 140.97 0) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Footprint" "" + (at 127 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "" + (at 127 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Power symbol creates a global label with name \"GND\" , ground" + (at 127 135.89 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "1" + (uuid "e93ea1e0-3117-448f-8aa2-123869d077ce") + ) + (instances + (project "" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "#PWR01") + (unit 1) + ) + ) + ) + ) + (symbol + (lib_id "Device:C_Small") + (at 238.76 102.87 0) + (unit 1) + (exclude_from_sim no) + (in_bom yes) + (on_board yes) + (dnp no) + (fields_autoplaced yes) + (uuid "ff517344-0061-4430-a343-05a61517c13f") + (property "Manufacturer" "Murata" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "ManufacturerRef" "GCM1885C2A620FA16D" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Price" "0.114" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Vendor" "Mouser" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "VendorRef" "81-GCM1885C2A620FA6D" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Reference" "C14" + (at 241.3 101.6062 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Value" "62p" + (at 241.3 104.1462 0) + (effects + (font + (size 1.27 1.27) + ) + (justify left) + ) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Datasheet" "~" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (property "Description" "Unpolarized capacitor, small symbol" + (at 238.76 102.87 0) + (hide yes) + (effects + (font + (size 1.27 1.27) + ) + ) + ) + (pin "2" + (uuid "39280e70-6dd0-4583-a0c3-03d5a18c4699") + ) + (pin "1" + (uuid "be7b5874-0de4-4744-9f15-bf82636d9ed9") + ) + (instances + (project "rfid-reader" + (path "/6269ca54-79b8-454f-bbff-8c3f0cdb6235" + (reference "C14") + (unit 1) + ) + ) + ) + ) + (sheet_instances + (path "/" + (page "1") + ) + ) + (embedded_fonts no) +) diff --git a/daughter-boards/nfc/sym-lib-table b/daughter-boards/nfc/sym-lib-table new file mode 100644 index 0000000..63d7c9e --- /dev/null +++ b/daughter-boards/nfc/sym-lib-table @@ -0,0 +1,4 @@ +(sym_lib_table + (version 7) + (lib (name "library")(type "KiCad")(uri "${KIPRJMOD}/library.kicad_sym")(options "")(descr "")) +) diff --git a/docs/api.rst b/docs/api.rst index 0643205..fb934ae 100644 --- a/docs/api.rst +++ b/docs/api.rst @@ -6,3 +6,4 @@ Python API Scaffold STM32 ISO7816 + ISO14443 diff --git a/docs/api_iso14443.rst b/docs/api_iso14443.rst new file mode 100644 index 0000000..4d78aa3 --- /dev/null +++ b/docs/api_iso14443.rst @@ -0,0 +1,18 @@ +ISO7816 API +=========== + +This API provides support for ISO7816 support with Scaffold. + +.. automodule:: scaffold.iso14443 + +.. autoclass:: NFC + :special-members: __init__ + :members: + +.. autoclass:: TRF7970ACommand + :members: + :undoc-members: + +.. autoclass:: TRF7970ARegister + :members: + :undoc-members: diff --git a/docs/exts/modbox.py b/docs/exts/modbox.py index e3c25f1..7517781 100755 --- a/docs/exts/modbox.py +++ b/docs/exts/modbox.py @@ -50,7 +50,7 @@ def make_fig(path, inputs, outputs): # Head length and head width for arrows hl = 0.25 hw = hl * 0.75 - box_w = 6 + box_w = 8 box_left = -box_w//2 box_right = -box_left top = 1 diff --git a/docs/iso14443_module.rst b/docs/iso14443_module.rst new file mode 100644 index 0000000..9570f5b --- /dev/null +++ b/docs/iso14443_module.rst @@ -0,0 +1,166 @@ +ISO-14443 module +================ + +The ISO-14443 module is a reader interface allowing communication with any +ISO-14443 contactless card. + +This peripheral only handles frames transmission and decoding, and it must be +used with the dedicated NFC daughterboard which is responsible for the radio +modulation and demodulation. More precisely, this daughterboard embeds a +TRF7970A integrated circuit which acts as the RF front-end. + +.. warning:: + Only ISO-14443 type A is supported at the moment. + +Python API example +------------------ + +The following example shows how to select a card and send an APDU. + +.. code-block:: python + + from scaffold import Scaffold, ISO14443Trigger + from scaffold.iso14443 import NFC + + s = Scaffold() + nfc = NFC(s) + nfc.startup() + s.d5 << nfc.trigger + nfc.iso14443.trigger_mode = ISO14443Trigger.START + + print(nfc.reqa().hex()) + + uid = nfc.transmit(b"\x93\x20") + print(uid.hex()) + + result = nfc.transmit_with_crc(b"\x93\x70" + uid) + print(result.hex()) + + # Send RATS + result = nfc.transmit_with_crc(b"\xe0\x80") + print(result.hex()) + + +Signals +------- + +.. modbox:: + :inputs: rx, clock_13_56, tearing + :outputs: tx, trigger* + +Internal registers +------------------ + ++--------+----------------+-----+ +| 0x0500 | status/control | R/W | ++--------+----------------+-----+ +| 0x0501 | config | W | ++--------+----------------+-----+ +| 0x0502 | data | R/W | ++--------+----------------+-----+ +| 0x0503 | timeout | W | ++--------+----------------+-----+ + +status and control register +^^^^^^^^^^^^^^^^^^^^^^^^^^^ + ++-------+---+---+---+---+---+-----------+----------+-------+ +| | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 | ++-------+---+---+---+---+---+-----------+----------+-------+ +| read | *reserved* | busy | ++-------+-------------------+-----------+----------+-------+ +| write | *reserved* | power_off | power_on | start | ++-------+-------------------+-----------+----------+-------+ + +busy + Reading this bit returns 1 when a transmission is ongoing. +start + Writing 1 to this bit will start the transmission of patterns pushed in the + FIFO. +power_on + Writing to 1 enables RF power. +power_off + Writing to 1 disables RF power by constant modulation. + Works only for ISO-14443 type A. + +Note: Starting a transmission automatically flushes the reception FIFO. + +config register +^^^^^^^^^^^^^^^ + ++----------+----------+---+--------+--------------+------------+----------------+------------------+ +| 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 | ++----------+----------+------------+--------------+------------+----------------+------------------+ +| polarity | use_sync | *reserved* | trigger_long | trigger_rx | trigger_tx_end | trigger_tx_start | ++----------+----------+------------+--------------+------------+----------------+------------------+ + +trigger_start + When set to 1, trigger signal will be asserted at the beginning of + transmission, for one clock cycle long. Can be combined with trigger_end and + trigger_rx. +trigger_end + When set to 1, trigger signal will be asserted at the end of transmission, + for one clock cycle long. Can be combined with trigger_start and trigger_rx. +trigger_rx + When set to 1, trigger signal will be asserted when the card starts to + respond. Can be combined with trigger_start and trigger_end. Ignored if + trigger_long is set. +trigger_long + When set to 1, start or end signals will raise the trigger and keep it high + until the card starts to respond, or the response timeout expires. +use_sync + When set to 1, the transmitter will synchronize the transmission to the 13.56 + MHz clock issued from the daughterboard. This option reduces the jitter. +polarity + Can be modified to set tx modulation signal polarity. Use value 1 for the + reference Scaffold NFC daughterboard. May be changed if RF front-end is + different. + +data register +^^^^^^^^^^^^^ + ++-------+---+---+---+---+---+---+---+------------+ +| | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 | ++-------+---+---+---+---+---+---+---+------+-----+ +| read | size_hint | rx_empty | bit | ++-------+-----------------------+----------+-----+ +| write | *reserved* | pattern | ++-------+-----------------------+----------------+ + +Writing to this register pushes in the FIFO a pattern to be transmitted. The +pattern field is encoded as this: + +- 10: Miller sequence X (type A) +- 11: Miller sequence Y (type A) or NRZ bit 1 symbol (type B) +- 01: Miller sequence Z (type A) +- 00: NRZ bit 0 symbol (type B) + +Up to 2048 patterns can be pushed in the FIFO. When all patterns have been +loaded, transmission can start by writting to the control register. + +Reading this register pops the lastest bit stored in the reception FIFO and +returns the following information: + +bit: + Oldest received bit. +rx_empty: + True if the FIFO is empty. False if it contains bits (before the actual + read). +size_hint: + Hints how many bits are stored in the FIFO. 0 means FIFO has from 0 to 63 + elements, 1 means FIFO has from 64 to 127 elements, etc. Maximum value is + 63, which means FIFO has from 4032 to 4095 elements. + +The rx_empty and size_hint fields helps the software to read the correct amount +of received data with minimizing the number of requests to the board and +therefore reducing the response reading latency. + +timeout register +^^^^^^^^^^^^^^^^ + +This 24-bit register defines how long the reader can awaits for a response from +the contactless card. After transmission and once the timeout expires, the +ISO-14443 peripheral returns to idle state. + +Write three bytes, MSB first, to set the timeout. Each unit corresponds to +9.44 µs. The default setting is 2 seconds. diff --git a/docs/iso7816_module.rst b/docs/iso7816_module.rst index 30bac6a..0c5f48c 100644 --- a/docs/iso7816_module.rst +++ b/docs/iso7816_module.rst @@ -84,7 +84,7 @@ control register | *reserved* | flush | +---------------------------+-------+ -clear +flush Write this bit to 1 to flush the reception FIFO memory. config register diff --git a/docs/peripherals.rst b/docs/peripherals.rst index 446851c..8a5d62b 100644 --- a/docs/peripherals.rst +++ b/docs/peripherals.rst @@ -22,12 +22,13 @@ the signal `output_c` in the example above. I/Os UART - Power - LEDs - ISO7816 I2C SPI + ISO7816 (contact smartcards) + ISO14443 (contactless smartcards) Pulse generator Clock generator + Power Chain triggers + LEDs Version diff --git a/examples/iso14443.py b/examples/iso14443.py new file mode 100755 index 0000000..0a14d13 --- /dev/null +++ b/examples/iso14443.py @@ -0,0 +1,42 @@ +#!/usr/bin/python3 +# +# This file is part of Scaffold +# +# Scaffold is free software: you can redistribute it and/or modify +# it under the terms of the GNU Lesser General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU Lesser General Public License for more details. +# +# You should have received a copy of the GNU Lesser General Public License +# along with this program. If not, see . +# +# +# Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +from scaffold import Scaffold, ISO14443Trigger +from scaffold.iso14443 import NFC + +s = Scaffold() +nfc = NFC(s) +s.d5 << nfc.trigger +nfc.startup() +nfc.iso14443.trigger_mode = ISO14443Trigger.START +nfc.iso14443.timeout = 1 +nfc.verbose = True + +# REQA +atqa = nfc.reqa() +uid_size = ((atqa[0] >> 6) & 3) + 1 +# Selection +for i in range(uid_size): + nfc.sel(i + 1) +# Send Request Answer To Select +result = nfc.rats() +# Send APDU +response = nfc.apdu(bytes.fromhex("00a404000e315041592e5359532e4444463031")) diff --git a/fpga-arch/buffered_uart_rx.vhd b/fpga-arch/buffered_uart_rx.vhd index 0e1dea2..e03776d 100644 --- a/fpga-arch/buffered_uart_rx.vhd +++ b/fpga-arch/buffered_uart_rx.vhd @@ -49,7 +49,7 @@ port ( -- read_request has been asserted. data: out std_logic_vector(7 downto 0); -- Number of bytes in the FIFO. - size: out std_logic_vector(8 downto 0) ); + size: out std_logic_vector(10 downto 0) ); end; @@ -62,7 +62,7 @@ architecture behavior of buffered_uart_rx is signal light_uart_has_data: std_logic; begin -- Reading a byte from the FIFO takes one clock cycle (no read-ahead). - e_fifo512: entity work.fifo512 + e_fifo2048: entity work.fifo2048 port map ( aclr => not reset_n, sclr => '0', diff --git a/fpga-arch/bus_bridge.vhd b/fpga-arch/bus_bridge.vhd index 7ad5cd6..9c41a6b 100644 --- a/fpga-arch/bus_bridge.vhd +++ b/fpga-arch/bus_bridge.vhd @@ -109,7 +109,7 @@ architecture behavior of bus_bridge is -- cycle. signal fifo_rdreq: std_logic; -- Size of the FIFO - signal fifo_use: std_logic_vector(8 downto 0); + signal fifo_use: std_logic_vector(10 downto 0); -- When high, the FSM is waiting from a byte to be available from the FIFO. -- This signal is used to perform read requests. signal need_byte: std_logic; @@ -170,7 +170,7 @@ architecture behavior of bus_bridge is signal delay_counter: std_logic_vector(23 downto 0); -- Expected size of the command buffer when a wait buffer operation is -- performed. This is configured during st_buf_wait_conf_x states. - signal buf_wait_size: std_logic_vector(8 downto 0); + signal buf_wait_size: std_logic_vector(10 downto 0); -- Register for pipelining the incoming data from the bus. signal bus_read_data_pipelined: byte_t; @@ -836,7 +836,7 @@ begin when st_buf_wait_conf_1 | st_buf_wait_conf_2 => if byte_available = '1' then -- Shift-load from the right - buf_wait_size <= buf_wait_size(0) & uart_data_rx; + buf_wait_size <= buf_wait_size(2 downto 0) & uart_data_rx; else buf_wait_size <= buf_wait_size; end if; diff --git a/fpga-arch/confware-0.10.pof b/fpga-arch/confware-0.10.pof new file mode 100644 index 0000000..5fa55b9 Binary files /dev/null and b/fpga-arch/confware-0.10.pof differ diff --git a/fpga-arch/iso14443_clock_sync.vhd b/fpga-arch/iso14443_clock_sync.vhd new file mode 100644 index 0000000..2f7d5f0 --- /dev/null +++ b/fpga-arch/iso14443_clock_sync.vhd @@ -0,0 +1,70 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + + +entity iso14443_clock_sync is +port ( + -- System clock. + clock: in std_logic; + -- System reset, active low. + reset_n: in std_logic; + -- 13.56 MHz clock input + clock_13_56: in std_logic; + -- Resynchronization result + go: out std_logic ); +end; + + +architecture behavior of iso14443_clock_sync is + -- Synchronization register chain + signal sync_chain: std_logic_vector(3 downto 0); + -- Sampled clock used for detection. + signal samples: std_logic_vector(3 downto 0); +begin + -- This chain helps preventing metastability issues. + -- See Altera White Paper WP-01082-1.2 + -- https://cdrdv2-public.intel.com/650346/wp-01082-quartus-ii-metastability.pdf + p_sync_chain: process (clock, reset_n) is + begin + if reset_n = '0' then + sync_chain <= (others => '0'); + elsif rising_edge(clock) then + sync_chain <= sync_chain(sync_chain'high - 1 downto 0) & clock_13_56; + end if; + end process; + + p_samples: process (clock, reset_n) is + begin + if reset_n = '0' then + samples <= (others => '0'); + go <= '0'; + elsif rising_edge(clock) then + samples <= samples(samples'high - 1 downto 0) & sync_chain(sync_chain'high); + if samples = "0111" then + go <= '1'; + else + go <= '0'; + end if; + end if; + end process; +end; diff --git a/fpga-arch/iso14443_demod.vhd b/fpga-arch/iso14443_demod.vhd new file mode 100644 index 0000000..b8f4dcf --- /dev/null +++ b/fpga-arch/iso14443_demod.vhd @@ -0,0 +1,99 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + + +entity iso14443_demod is +port ( + -- System clock. + clock: in std_logic; + -- System reset, active low. + reset_n: in std_logic; + -- Subcarrier input. + rx: in std_logic; + -- High to enable demodulator. + enable: in std_logic; + -- Demodulated output. + result: out std_logic ); +end; + + +architecture behavior of iso14443_demod is + signal rx_z: std_logic; + signal edge: std_logic; + signal level: unsigned(1 downto 0); + signal counter: unsigned(7 downto 0); +begin + p_rx: process (clock, reset_n) is + begin + if reset_n = '0' then + rx_z <= '0'; + edge <= '0'; + elsif rising_edge(clock) then + rx_z <= rx and enable; + edge <= rx xor rx_z; + end if; + end process; + + p_counter: process (clock, reset_n) is + begin + if reset_n = '0' then + counter <= (others => '0'); + elsif rising_edge(clock) then + if edge = '1' then + -- 176 = 59 * 3 - 1 + -- With this value, for perfect modulated input we have a pulse + -- of exactly half the duration of one bit. + counter <= to_unsigned(176, counter'length); + else + if counter = 0 then + counter <= counter; + else + counter <= counter - 1; + end if; + end if; + end if; + end process; + + p_level: process (clock, reset_n) is + begin + if reset_n = '0' then + level <= (others => '0'); + result <= '0'; + elsif rising_edge(clock) then + if (counter = 0) or (enable = '0') then + level <= (others => '0'); + else + if (edge = '1') and (level /= 3) then + level <= level + 1; + else + level <= level; + end if; + end if; + if (enable = '1') and (level = 3) then + result <= '1'; + else + result <= '0'; + end if; + end if; + end process; +end; diff --git a/fpga-arch/iso14443_module.vhd b/fpga-arch/iso14443_module.vhd new file mode 100644 index 0000000..8f1f3ca --- /dev/null +++ b/fpga-arch/iso14443_module.vhd @@ -0,0 +1,231 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.common_pkg.all; + + +-- +-- Configurable ISO14443 module. +-- +-- Generates a modulation signal which is fed to an analog front-end (most +-- likely the TRF7970A) and decodes received demodulated sub-carrier signal. +-- Configurable triggers can be generated to synchronize experiments with the RF +-- communication. +-- +entity iso14443_module is +port ( + -- System clock. + clock: in std_logic; + -- System reset, active low. + reset_n: in std_logic; + -- Bus signals + bus_in: in bus_in_t; + + -- Registers selection signals, from address decoder. + en_status_control: in std_logic; + en_config: in std_logic; + en_data: in std_logic; + en_timeout: in std_logic; + en_demod_delay: in std_logic; + + -- Output registers + reg_status: out byte_t; + reg_data: out byte_t; + + -- 13.56 MHz clock source used for synchronization. + clock_13_56: in std_logic; + -- If high, cut RF power (by modulating all the time). + -- Works for ISO-14443 type A only (requires OOK modulation). + tearing: in std_logic; + + -- ISO14443 signals + tx: out std_logic; + rx: in std_logic; + trigger: out std_logic ); +end; + + +architecture behavior of iso14443_module is + -- Status register. + signal status: byte_t; + signal busy: std_logic; + -- Configuration register. + signal config: byte_t; + signal trigger_tx_start_en: std_logic; + signal trigger_tx_end_en: std_logic; + signal trigger_rx_start_en: std_logic; + signal trigger_long_en: std_logic; + signal polarity: std_logic; + signal use_sync: std_logic; + -- Timeout register + signal reg_timeout: std_logic_vector(23 downto 0); + -- Demodulation delay register. + -- Only 29 bits are used, MSB will be ignored. + signal reg_demod_delay: std_logic_vector(31 downto 0); + + -- When high, pushes a byte in the FIFO. + signal push: std_logic; + -- When high, starts transmitting what has been stored in the FIFO. + signal start: std_logic; + -- Triggers generated by the transmit module + signal trigger_tx_start: std_logic; + signal trigger_tx_end: std_logic; + signal trigger_rx_start: std_logic; + signal trigger_long: std_logic; + -- Trigger asserted when reception begin. + signal trigger_rx: std_logic; + -- High during one clock cycle when a bit has been received. + signal rx_bit_valid: std_logic; + -- Received bit value. Valid only if rx_bit_valid is high. + signal rx_bit_out: std_logic; + -- Enables RF power, used for tearing. + signal power_enable: std_logic; + + -- FIFO control signals + signal rx_fifo_q: std_logic; + signal rx_fifo_empty: std_logic; + signal rx_fifo_empty_z: std_logic; + signal rx_fifo_rdreq: std_logic; + signal rx_fifo_usedw: std_logic_vector(11 downto 0); + signal rx_fifo_usedw_z: std_logic_vector(11 downto 0); + signal trigger_reg: std_logic; +begin + -- Timeout register + -- Default value to 2 seconds. + e_timeout_reg: entity work.module_wide_reg + generic map (wideness => 3, reset => x"2faf08") + port map (clock => clock, reset_n => reset_n, en => en_timeout, + bus_in => bus_in, value => reg_timeout); + + -- Demodulation delay counter. + e_reg_demod_delay: entity work.module_wide_reg + generic map (wideness => 4, reset => x"00000000") + port map (clock => clock, reset_n => reset_n, en => en_demod_delay, + bus_in => bus_in, value => reg_demod_delay); + + e_iso14443_tx: entity work.iso14443_tx + port map ( + clock => clock, + reset_n => reset_n, + pattern => bus_in.write_data(1 downto 0), + power_enable => power_enable, + polarity => polarity, + push => push, + start => start, + busy => busy, + use_sync => use_sync, + clock_13_56 => clock_13_56, + timeout => reg_timeout, + demod_delay => reg_demod_delay(28 downto 0), + rx_fifo_q => rx_fifo_q, + rx_fifo_empty => rx_fifo_empty, + rx_fifo_rdreq => rx_fifo_rdreq, + rx_fifo_usedw => rx_fifo_usedw, + trigger_tx_start => trigger_tx_start, + trigger_tx_end => trigger_tx_end, + trigger_rx_start => trigger_rx_start, + rx => rx, + tx => tx ); + + push <= en_data and bus_in.write; + start <= en_status_control and bus_in.write and bus_in.write_data(0); + + p_power_enable: process (clock, reset_n) + begin + if reset_n = '0' then + power_enable <= '0'; + elsif rising_edge(clock) then + if (en_status_control = '1') and (bus_in.write = '1') then + power_enable <= (power_enable or bus_in.write_data(1)) and (not bus_in.write_data(2)); + else + power_enable <= power_enable and (not tearing); + end if; + end if; + end process; + + -- Transmission trigger + p_trigger: process (clock, reset_n) + begin + if reset_n = '0' then + trigger_reg <= '0'; + trigger_long <= '0'; + elsif rising_edge(clock) then + -- Trigger long is also reset if push is asserted. This is usefull + -- when no response is received from the card. + -- We also need to reset the trigger when there is no response: we + -- AND with busy for that purpose. + trigger_long <= (trigger_long and busy and (not push) + and (not trigger_rx_start)) + or (trigger_tx_start and trigger_tx_start_en) + or (trigger_tx_end and trigger_tx_end_en); + trigger_reg <= (trigger_long and trigger_long_en) + or (trigger_tx_start and trigger_tx_start_en) + or (trigger_tx_end and trigger_tx_end_en) + or (trigger_rx_start and trigger_rx_start_en); + end if; + end process; + + trigger <= trigger_reg; + + e_config: entity work.module_reg + generic map (reset => x"00") + port map ( + clock => clock, + reset_n => reset_n, + en => en_config, + bus_in => bus_in, + value => config ); + + trigger_tx_start_en <= config(0); + trigger_tx_end_en <= config(1); + trigger_rx_start_en <= config(2); + trigger_long_en <= config(3); + use_sync <= config(6); + polarity <= config(7); + + status <= "0000000" & busy; + reg_status <= status; + + rx_fifo_rdreq <= en_data and bus_in.read; + + -- Reading data register pops the FIFO. We want the usedw and empty signals + -- of the FIFO before the pop operation, so we save them in registers when + -- data register is read. + p_fifo_z: process (clock, reset_n) is + begin + if reset_n = '0' then + rx_fifo_usedw_z <= (others => '0'); + rx_fifo_empty_z <= '0'; + elsif rising_edge(clock) then + if rx_fifo_rdreq then + rx_fifo_usedw_z <= rx_fifo_usedw; + rx_fifo_empty_z <= rx_fifo_empty; + else + rx_fifo_usedw_z <= rx_fifo_usedw_z; + rx_fifo_empty_z <= rx_fifo_empty_z; + end if; + end if; + end process; + + reg_data <= rx_fifo_usedw_z(rx_fifo_usedw_z'high + downto rx_fifo_usedw_z'high - 5) & rx_fifo_empty_z & rx_fifo_q; +end; diff --git a/fpga-arch/iso14443_rx_fifo.vhd b/fpga-arch/iso14443_rx_fifo.vhd new file mode 100644 index 0000000..9b2f375 --- /dev/null +++ b/fpga-arch/iso14443_rx_fifo.vhd @@ -0,0 +1,97 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +library altera_mf; +use altera_mf.all; + + +entity iso14443_rx_fifo is +port ( + clock: in std_logic; + aclr: in std_logic; + data: in std_logic; + rdreq: in std_logic; + sclr: in std_logic; + wrreq: in std_logic; + empty: out std_logic; + full: out std_logic; + q: out std_logic; + usedw: out std_logic_vector(11 downto 0) ); +end; + + +architecture behavior of iso14443_rx_fifo is + component scfifo + generic ( + lpm_type: string; + intended_device_family: string; + add_ram_output_register: string; + lpm_numwords: integer; + lpm_showahead: string; + lpm_width: integer; + lpm_widthu: integer; + overflow_checking: string; + underflow_checking: string; + use_eab: string ); + port ( + clock: in std_logic; + aclr: in std_logic; + data: in std_logic_vector(0 downto 0); + rdreq: in std_logic; + sclr: in std_logic; + wrreq: in std_logic; + empty: out std_logic; + full: out std_logic; + q: out std_logic_vector(0 downto 0); + usedw: out std_logic_vector(11 downto 0) ); + end component; + + signal data_vec: std_logic_vector(0 downto 0); + signal q_vec: std_logic_vector(0 downto 0); +begin + s_fifo: scfifo + generic map ( + lpm_type => "scfifo", + intended_device_family => "Cyclone IV E", + add_ram_output_register => "ON", + lpm_numwords => 4095, + -- Disable showahead for more performance + lpm_showahead => "OFF", + lpm_width => 1, + lpm_widthu => 12, + overflow_checking => "ON", + underflow_checking => "ON", + use_eab => "ON" ) + port map ( + clock => clock, + aclr => aclr, + data => data_vec, + rdreq => rdreq, + sclr => sclr, + wrreq => wrreq, + empty => empty, + full => full, + q => q_vec, + usedw => usedw ); + + q <= q_vec(0); + data_vec(0) <= data; +end; diff --git a/fpga-arch/iso14443_tx.vhd b/fpga-arch/iso14443_tx.vhd new file mode 100644 index 0000000..6e1e9bc --- /dev/null +++ b/fpga-arch/iso14443_tx.vhd @@ -0,0 +1,497 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + + +entity iso14443_tx is +port ( + -- System clock. + clock: in std_logic; + -- System reset, active low. + reset_n: in std_logic; + -- Polarity bit to negate the output if necessary, depending on the radio + -- front-end. + polarity: std_logic; + -- High to resynchronize to external 13.56 MHz clock. + use_sync: in std_logic; + -- External 13.56 MHz clock. + -- Use to synchronize transmission with the RF front-end clock if use_sync + -- is enabled. + clock_13_56: in std_logic; + -- When low, forces modulation to cut power (valid only in OOK). + power_enable: in std_logic; + -- Maximum timeout value. + timeout: in std_logic_vector(23 downto 0); + -- Time to wait before starting RX decoding after transmission. + -- This can help to avoid demodulator noise. + demod_delay: in std_logic_vector(28 downto 0); + -- Input pattern to be pushed. + pattern: in std_logic_vector(1 downto 0); + -- When high, push pattern in the FIFO. + push: in std_logic; + -- When high, start transmission. + start: in std_logic; + -- Number of bits stored in the FIFO. + rx_fifo_usedw: out std_logic_vector(11 downto 0); + -- High when reception FIFO is empty. + rx_fifo_empty: out std_logic; + -- RX FIFO output bit. + rx_fifo_q: out std_logic; + -- RX FIFO read request. + rx_fifo_rdreq: in std_logic; + -- High when transmission or reception is ongoing. + busy: out std_logic; + -- Output trigger asserted when transmission starts. + trigger_tx_start: out std_logic; + -- Output trigger asserted when transmission ends. + trigger_tx_end: out std_logic; + -- Output trigger asserted when the card starts to respond. + trigger_rx_start: out std_logic; + -- Sub-carrier input. + rx: in std_logic; + -- Modulation output. + tx: out std_logic ); +end; + + +architecture behavior of iso14443_tx is + -- FSM states + type state_t is ( + st_idle, + st_sync, + st_trigger_start, + st_tx_fetch, + st_tx_copy, + st_tx, + st_trigger_tx_end, + st_rx_delay, + st_rx_wait, + st_rx_a, + st_rx_a_sample, + st_rx_dummy, + st_rx_b, + st_rx_b_sample, + st_rx_decode, + st_end ); + -- Current FSM state + signal state: state_t; + -- Start signal from the 13.56 MHz clock synchronizer. + signal clock_sync_go: std_logic; + -- Symbol being transmitted. + signal tx_buffer: std_logic_vector(3 downto 0); + -- Counter used for the transmission, to generate 1/4 symbol period. + -- One ETU is 128/fc, which is approximatively 1/944 clock cycles. + -- One period is then 944 / 4 = 236, which corresponds the pause time of + -- 2.5 µs. + -- Maximum counter value will therefore be 235 for transmission. + -- This counter is also used for reception, with a maximum value of 469 + -- (about half bit period). + signal time_counter: unsigned(8 downto 0); + -- Counts the number of moments during transmission of symbol. + signal tx_symbol_counter: unsigned(1 downto 0); + -- Transmission FIFO signals + signal tx_fifo_q: std_logic_vector(1 downto 0); + signal tx_fifo_empty: std_logic; + signal tx_fifo_full: std_logic; + signal tx_fifo_rdreq: std_logic; + -- Samples come from the output of the demoulator. + -- Two samples per bit are taken. + signal samples: std_logic_vector(1 downto 0); + -- High when samples is "01" or "10", corresponding to a valid Manchester + -- symbol. + signal samples_valid: std_logic; + -- Reception FIFO signals + signal rx_fifo_full: std_logic; + signal rx_fifo_wreq: std_logic; + -- Timeout counter. + signal timeout_counter: unsigned(29 downto 0); + -- Demodulation start delay counter. + signal demod_delay_counter: unsigned(28 downto 0); + -- Demodulator output. + signal demod_result: std_logic; + -- High to enable demodulator block. This help reducing power consumption + -- and noise: we don't need to always have it running. + signal demod_enable: std_logic; +begin + p_state: process (clock, reset_n) is + begin + if reset_n = '0' then + state <= st_idle; + elsif rising_edge(clock) then + case state is + -- Nothing is happening. + -- Wait for the order to start transmission. + when st_idle => + if start = '1' then + state <= st_sync; + else + state <= st_idle; + end if; + + -- Transmission has been requested, we are in clock + -- synchronization state. Synchronization is bypassed if + -- use_sync is disabled. + when st_sync => + if (clock_sync_go = '1') or (use_sync = '0') then + state <= st_trigger_start; + else + state <= st_sync; + end if; + + -- One clock cycle to generate trigger. + when st_trigger_start => + state <= st_tx_fetch; + + -- One clock cycle to request FIFO pop. + when st_tx_fetch => + state <= st_tx_copy; + + -- One clock cycle to load transmission buffer from FIFO output. + when st_tx_copy => + state <= st_tx; + + -- We are transmitting bits. + when st_tx => + if tx_symbol_counter = 0 then + -- We are transmitting the last moment of the current + -- symbol. + if (time_counter = 2) and (tx_fifo_empty = '0') then + -- We still have symbols to transmit, fetch it from + -- the FIFO. + state <= st_tx_fetch; + elsif time_counter = 0 then + -- Done transmitting all symbols. + state <= st_trigger_tx_end; + else + state <= st_tx; + end if; + else + state <= st_tx; + end if; + + -- One clock cycle used to generate end of transmission trigger. + when st_trigger_tx_end => + state <= st_rx_delay; + + when st_rx_delay => + if demod_delay_counter = 0 then + state <= st_rx_wait; + else + state <= st_rx_delay; + end if; + + -- Waiting for the beginning of the response. + -- Goes to reception when modulation is detected, or end + -- reception if timeout occurs. + when st_rx_wait => + if timeout_counter = 0 then + state <= st_end; + else + if demod_result = '1' then + state <= st_rx_a; + else + state <= st_rx_wait; + end if; + end if; + + -- Waiting to be at the middle of first bit half. + when st_rx_a => + if time_counter = 0 then + state <= st_rx_a_sample; + else + state <= st_rx_a; + end if; + + -- One clock cycle to sample first bit half. + when st_rx_a_sample => + state <= st_rx_dummy; + + -- One clock dummy cycle to have same timing as second bit half + -- where there is a cycle for decoding. This way the time + -- counter is reloaded to the same value for both bit halves. + when st_rx_dummy => + state <= st_rx_b; + + -- Waiting to be at the middle of second bit half. + when st_rx_b => + if time_counter = 0 then + state <= st_rx_b_sample; + else + state <= st_rx_b; + end if; + + -- One clock cycle to sample second bit half. + when st_rx_b_sample => + state <= st_rx_decode; + + -- We took two samples for the current bit, we now have one + -- clock cycle to decode bit and push it in the RX FIFO. If bit + -- has no valid encoding, end reception. + when st_rx_decode => + if samples_valid = '1' then + -- Bit has valid Manchester encoding, continue reception. + state <= st_rx_a; + else + -- Bit is not valid, end of transmission + state <= st_end; + end if; + + when st_end => + state <= st_idle; + + end case; + end if; + end process; + + busy <= '1' when state /= st_idle else '0'; + + -- Time counter to generate the correct baudrates for transmission and + -- reception. + p_time_counter: process (clock, reset_n) is + begin + if reset_n = '0' then + time_counter <= (others => '0'); + elsif rising_edge(clock) then + case state is + -- Decrement the counter during all transmission, which includes + -- st_tx_fetch. + -- Exception: before starting transmission, when the first + -- symbol is fetched from the FIFO the counter will be + -- decremented once. This is not a problem, no need to add logic + -- to avoid that. + when st_tx | st_tx_fetch => + if time_counter = 0 then + time_counter <= to_unsigned(235, time_counter'length); + else + time_counter <= time_counter - 1; + end if; + when st_rx_wait | st_tx_copy => + -- 235 = 944 / 4 - 1 - 2 + -- Quarter bit duration, minus one because 0 is included, + -- minus 2 to take into account the dummy cycle and sampling + -- cycle. + time_counter <= to_unsigned(235, time_counter'length); + when st_rx_a | st_rx_b => + time_counter <= time_counter - 1; + when st_rx_a_sample | st_rx_dummy | st_rx_b_sample | st_rx_decode => + -- 469 = 944 / 2 - 1 - 2 + -- Half bit duration, minus one because 0 is included, + -- minus 2 to take into account the sampling cycle and + -- decoding cycle. + time_counter <= to_unsigned(469, time_counter'length); + when others => + time_counter <= time_counter; + end case; + end if; + end process; + + -- Symbol counting for transmission. + -- Counts the number of moments to be transmitted. Loaded to value 3 + -- (4 moments) for each symbol to be transmitted. + p_tx_symbol_counter: process (clock, reset_n) is + begin + if reset_n = '0' then + tx_symbol_counter <= (others => '0'); + elsif rising_edge(clock) then + case state is + when st_tx => + if time_counter = 0 then + tx_symbol_counter <= tx_symbol_counter - 1; + else + tx_symbol_counter <= tx_symbol_counter; + end if; + when others => + tx_symbol_counter <= to_unsigned(3, tx_symbol_counter'length); + end case; + end if; + end process; + + -- Timout counter management. + p_timeout_counter: process (clock, reset_n) is + begin + if reset_n = '0' then + timeout_counter <= (others => '1'); + elsif rising_edge(clock) then + case state is + when st_idle => + timeout_counter <= unsigned(timeout & "000000"); + when st_rx_wait => + timeout_counter <= timeout_counter - 1; + when others => + timeout_counter <= timeout_counter; + end case; + end if; + end process; + + -- Transmission buffer management. + p_tx_buffer: process (clock, reset_n) is + begin + if reset_n = '0' then + tx_buffer <= "1111"; + elsif rising_edge(clock) then + case state is + -- Load tx_buffer with the symbol to be transmitted right + -- after. Symbol is stored on 2 bits in the FIFO, so there is + -- some kind of decompression here. + when st_tx_copy => + case tx_fifo_q is + when "00" => tx_buffer <= "0000"; + when "11" => tx_buffer <= "1111"; + when "01" => tx_buffer <= "0111"; + when "10" => tx_buffer <= "1101"; + when others => tx_buffer <= "1111"; + end case; + when st_tx => + if time_counter = 0 then + tx_buffer <= tx_buffer(2 downto 0) & "1"; + else + tx_buffer <= tx_buffer; + end if; + when others => + tx_buffer <= tx_buffer; + end case; + end if; + end process; + + -- Transmission patterns storage FIFO. + e_tx_fifo: entity work.iso14443_tx_fifo + port map ( + clock => clock, + aclr => not reset_n, + sclr => '0', + data => pattern, + wrreq => push, + q => tx_fifo_q, + full => tx_fifo_full, + empty => tx_fifo_empty, + rdreq => tx_fifo_rdreq ); + + tx_fifo_rdreq <= '1' when state = st_tx_fetch else '0'; + + -- 13.56 MHz clock synchronization block + e_clock_sync: entity work.iso14443_clock_sync + port map ( + clock => clock, + reset_n => reset_n, + clock_13_56 => clock_13_56, + go => clock_sync_go ); + + tx <= (tx_buffer(3) and power_enable) xor polarity; + + p_samples: process (clock, reset_n) is + begin + if reset_n = '0' then + samples <= "00"; + elsif rising_edge(clock) then + case state is + when st_rx_a_sample | st_rx_b_sample => + samples <= samples(0) & demod_result; + when others => + samples <= samples; + end case; + end if; + end process; + + samples_valid <= samples(0) xor samples(1); + + p_demod_delay_counter: process (clock, reset_n) is + begin + if reset_n = '0' then + demod_delay_counter <= to_unsigned(0, demod_delay_counter'length); + elsif rising_edge(clock) then + case state is + when st_idle => + if start = '1' then + demod_delay_counter <= unsigned(demod_delay); + else + demod_delay_counter <= demod_delay_counter; + end if; + when st_rx_delay => + demod_delay_counter <= demod_delay_counter - 1; + when others => + demod_delay_counter <= demod_delay_counter; + end case; + end if; + end process; + + e_demod: entity work.iso14443_demod + port map ( + clock => clock, + reset_n => reset_n, + enable => demod_enable, + rx => rx, + result => demod_result ); + + p_demo_enable: process (state) is + begin + case state is + when st_rx_wait | st_rx_a | st_rx_a_sample | st_rx_dummy | st_rx_b + | st_rx_b_sample | st_rx_decode => + demod_enable <= '1'; + when others => + demod_enable <= '0'; + end case; + end process; + + -- Received bits are stored in the following FIFO. + -- FIFO is automatically flushed when a new transmission is requested. + e_rx_fifo: entity work.iso14443_rx_fifo + port map ( + clock => clock, + aclr => not reset_n, + sclr => start, + data => samples(1), + wrreq => rx_fifo_wreq, + q => rx_fifo_q, + full => rx_fifo_full, + empty => rx_fifo_empty, + rdreq => rx_fifo_rdreq, + usedw => rx_fifo_usedw ); + + rx_fifo_wreq <= '1' when ((state = st_rx_decode) and (samples_valid = '1')) + else '0'; + + p_triggers: process (clock, reset_n) is + begin + if reset_n = '0' then + trigger_tx_start <= '0'; + trigger_tx_end <= '0'; + trigger_rx_start <= '0'; + elsif rising_edge(clock) then + if state = st_trigger_start then + trigger_tx_start <= '1'; + else + trigger_tx_start <= '0'; + end if; + if state = st_trigger_tx_end then + trigger_tx_end <= '1'; + else + trigger_tx_end <= '0'; + end if; + if (state = st_rx_wait) and (demod_result = '1') then + trigger_rx_start <= '1'; + else + trigger_rx_start <= '0'; + end if; + end if; + end process; +end; diff --git a/fpga-arch/iso14443_tx_fifo.vhd b/fpga-arch/iso14443_tx_fifo.vhd new file mode 100644 index 0000000..1128e2b --- /dev/null +++ b/fpga-arch/iso14443_tx_fifo.vhd @@ -0,0 +1,91 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +library altera_mf; +use altera_mf.all; + + +entity iso14443_tx_fifo is +port ( + clock: in std_logic; + aclr: in std_logic; + data: in std_logic_vector(1 downto 0); + rdreq: in std_logic; + sclr: in std_logic; + wrreq: in std_logic; + empty: out std_logic; + full: out std_logic; + q: out std_logic_vector(1 downto 0); + usedw: out std_logic_vector(10 downto 0) ); +end; + + +architecture behavior of iso14443_tx_fifo is + component scfifo + generic ( + lpm_type: string; + intended_device_family: string; + add_ram_output_register: string; + lpm_numwords: integer; + lpm_showahead: string; + lpm_width: integer; + lpm_widthu: integer; + overflow_checking: string; + underflow_checking: string; + use_eab: string ); + port ( + clock: in std_logic; + aclr: in std_logic; + data: in std_logic_vector(1 downto 0); + rdreq: in std_logic; + sclr: in std_logic; + wrreq: in std_logic; + empty: out std_logic; + full: out std_logic; + q: out std_logic_vector(1 downto 0); + usedw: out std_logic_vector(10 downto 0) ); + end component; +begin + s_fifo: scfifo + generic map ( + lpm_type => "scfifo", + intended_device_family => "Cyclone IV E", + add_ram_output_register => "ON", + lpm_numwords => 2048, + -- Disable showahead for more performance + lpm_showahead => "OFF", + lpm_width => 2, + lpm_widthu => 11, + overflow_checking => "ON", + underflow_checking => "ON", + use_eab => "ON" ) + port map ( + clock => clock, + aclr => aclr, + data => data, + rdreq => rdreq, + sclr => sclr, + wrreq => wrreq, + empty => empty, + full => full, + q => q, + usedw => usedw ); +end; diff --git a/fpga-arch/scaffold.qsf b/fpga-arch/scaffold.qsf index 80a45e7..a6bc652 100644 --- a/fpga-arch/scaffold.qsf +++ b/fpga-arch/scaffold.qsf @@ -88,10 +88,21 @@ set_location_assignment PIN_113 -to io[32] set_location_assignment PIN_115 -to io[33] set_location_assignment PIN_125 -to io[34] set_location_assignment PIN_120 -to io[35] -set_global_assignment -name LAST_QUARTUS_VERSION "21.1.1 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.2 Lite Edition" set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name VHDL_FILE fifo2048.vhd +set_global_assignment -name VHDL_FILE iso14443_demod.vhd +set_global_assignment -name VHDL_FILE iso14443_clock_sync.vhd +set_global_assignment -name VHDL_FILE iso14443_rx_fifo.vhd +set_global_assignment -name VHDL_FILE iso14443_tx_fifo.vhd +set_global_assignment -name VHDL_FILE iso14443_tx.vhd -hdl_version VHDL_2008 +set_global_assignment -name VHDL_FILE iso14443_module.vhd set_global_assignment -name VHDL_FILE buffered_uart_rx.vhd set_global_assignment -name VHDL_FILE buffered_uart_tx.vhd set_global_assignment -name VHDL_FILE spi_slave.vhd @@ -134,8 +145,4 @@ set_global_assignment -name VHDL_FILE uart_module.vhd set_global_assignment -name VHDL_FILE uart_rx.vhd set_global_assignment -name VHDL_FILE uart_tx.vhd set_global_assignment -name VHDL_FILE version_module.vhd -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" -set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/fpga-arch/system.vhd b/fpga-arch/system.vhd index 4047acb..0fbca45 100644 --- a/fpga-arch/system.vhd +++ b/fpga-arch/system.vhd @@ -151,7 +151,8 @@ architecture behavior of system is + 1 -- I2C trigger + 1 -- SPI trigger + pulse_gen_count -- Pulse generator outputs - + chain_count; -- Chain trigger + + chain_count -- Chain trigger + + 1; -- ISO 14443-A trigger signal mtxl_in: std_logic_vector(mtxl_in_count-1 downto 0); -- Left matrix outputs. Inputs of modules. @@ -161,7 +162,8 @@ architecture behavior of system is + 2 -- I2C + 1 -- SPI + 2 -- SPI slave - + 1; -- Clock + + 1 -- Clock + + 3; -- ISO14443 signal mtxl_out: std_logic_vector(mtxl_out_count-1 downto 0); signal mtxl_out_uart_rx: std_logic_vector(uart_count-1 downto 0); signal mtxl_out_pulse_gen_start: std_logic_vector(pulse_gen_count-1 downto 0); @@ -174,6 +176,9 @@ architecture behavior of system is signal mtxl_out_clock_glitch_start: std_logic; signal mtxl_out_chain_events: std_logic_vector_array_t(chain_count-1 downto 0)(chain_size-1 downto 0); + signal mtxl_out_iso14443_rx: std_logic; + signal mtxl_out_iso14443_clock_13_56: std_logic; + signal mtxl_out_iso14443_tearing: std_logic; -- Right matrix inputs. Output of modules. -- Each output wire has two signals: a value and an output enable. @@ -184,7 +189,8 @@ architecture behavior of system is + 3 -- I2C module + 4 -- SPI module + 1 -- SPI slave module - + 1; -- Clock + + 1 -- Clock + + 2; -- ISO 14443-A signal mtxr_in: tristate_array_t(mtxr_in_count-1 downto 0); signal mtxr_in_uart_tx: std_logic_vector(uart_count-1 downto 0); signal mtxr_in_uart_trigger: std_logic_vector(uart_count-1 downto 0); @@ -204,6 +210,8 @@ architecture behavior of system is signal mtxr_in_spi_slave_miso: std_logic; signal mtxr_in_clock_out: std_logic; signal mtxr_in_chain_out: std_logic_vector(chain_count-1 downto 0); + signal mtxr_in_iso14443_tx: std_logic; + signal mtxr_in_iso14443_trigger: std_logic; -- Output signals of the output matrix constant mtxr_out_count: positive := io_count; @@ -258,6 +266,11 @@ architecture behavior of system is constant addr_clock_divisor_a: address_t := x"0a01"; constant addr_clock_divisor_b: address_t := x"0a02"; constant addr_clock_count: address_t := x"0a03"; + constant addr_iso14443_status_control: address_t := x"0b00"; + constant addr_iso14443_config: address_t := x"0b01"; + constant addr_iso14443_data: address_t := x"0b02"; + constant addr_iso14443_timeout: address_t := x"0b03"; + constant addr_iso14443_demod_delay: address_t := x"0b04"; constant addr_io_value_base: address_t := x"e000"; constant addr_io_config_base: address_t := x"e001"; constant addr_mtxl_base: address_t := x"f000"; @@ -307,6 +320,11 @@ architecture behavior of system is signal en_clock_divisor_a: std_logic; signal en_clock_divisor_b: std_logic; signal en_clock_count: std_logic; + signal en_iso14443_status_control: std_logic; + signal en_iso14443_config: std_logic; + signal en_iso14443_data: std_logic; + signal en_iso14443_timeout: std_logic; + signal en_iso14443_demod_delay: std_logic; signal en_io_value: std_logic_vector(io_count-1 downto 0); signal en_io_config: std_logic_vector(io_count-1 downto 0); signal en_mtxl_sel: std_logic_vector(mtxl_out_count-1 downto 0); @@ -323,7 +341,8 @@ architecture behavior of system is + 1 -- Power control + 2 -- ISO7816 status and data + 4 -- I2C - + 2; -- SPI + + 2 -- SPI + + 2; -- ISO14443 signal reg_io_value: std_logic_vector_array_t(io_count-1 downto 0) (7 downto 0); signal reg_version_data: byte_t; @@ -335,6 +354,7 @@ architecture behavior of system is signal reg_power_control: byte_t; signal reg_i2c_status, reg_i2c_data, reg_i2c_size_h, reg_i2c_size_l: byte_t; signal reg_spi_status, reg_spi_data: byte_t; + signal reg_iso14443_status, reg_iso14443_data: byte_t; -- State of the LEDs (when override is disabled in LEDs module). signal leds: std_logic_vector(23 downto 0); @@ -434,6 +454,11 @@ begin en_clock_divisor_a <= addr_en(bus_in, addr_clock_divisor_a); en_clock_divisor_b <= addr_en(bus_in, addr_clock_divisor_b); en_clock_count <= addr_en(bus_in, addr_clock_count); + en_iso14443_status_control <= addr_en(bus_in, addr_iso14443_status_control); + en_iso14443_config <= addr_en(bus_in, addr_iso14443_config); + en_iso14443_data <= addr_en(bus_in, addr_iso14443_data); + en_iso14443_timeout <= addr_en(bus_in, addr_iso14443_timeout); + en_iso14443_demod_delay <= addr_en(bus_in, addr_iso14443_demod_delay); en_io_value <= addr_en_loop(bus_in, addr_io_value_base, x"0010", io_count); en_io_config <= addr_en_loop(bus_in, addr_io_config_base, x"0010", io_count); @@ -461,7 +486,9 @@ begin reg_iso7816_status & reg_iso7816_data & reg_spi_status & - reg_spi_data, + reg_spi_data & + reg_iso14443_status & + reg_iso14443_data, enables => en_io_value & en_pulse_gen_status & @@ -476,7 +503,9 @@ begin en_iso7816_status & en_iso7816_data & en_spi_status & - en_spi_data, + en_spi_data & + en_iso14443_status_control & + en_iso14443_data, value => bus_out.read_data ); -- I/O modules @@ -520,7 +549,7 @@ begin -- Version module e_version_module: entity work.version_module - generic map (version => "scaffold-0.9") + generic map (version => "scaffold-0.10") port map ( clock => clock, reset_n => reset_n, @@ -694,6 +723,25 @@ begin output => mtxr_in_clock_out, glitch_start => mtxl_out_clock_glitch_start ); + -- ISO 14443-A module + e_iso14443: entity work.iso14443_module + port map ( + clock => clock, + reset_n => reset_n, + bus_in => bus_in, + en_status_control => en_iso14443_status_control, + en_config => en_iso14443_config, + en_data => en_iso14443_data, + en_timeout => en_iso14443_timeout, + en_demod_delay => en_iso14443_demod_delay, + reg_status => reg_iso14443_status, + reg_data => reg_iso14443_data, + tx => mtxr_in_iso14443_tx, + rx => mtxl_out_iso14443_rx, + clock_13_56 => mtxl_out_iso14443_clock_13_56, + tearing => mtxl_out_iso14443_tearing, + trigger => mtxr_in_iso14443_trigger ); + -- Left matrix module e_left_matrix_module: entity work.left_matrix_module generic map ( @@ -733,6 +781,12 @@ begin end loop; mtxl_out_clock_glitch_start <= mtxl_out(i); i := i + 1; + mtxl_out_iso14443_rx <= mtxl_out(i); + i := i + 1; + mtxl_out_iso14443_clock_13_56 <= mtxl_out(i); + i := i + 1; + mtxl_out_iso14443_tearing <= mtxl_out(i); + i := i + 1; assert i = mtxl_out_count; end process; @@ -740,6 +794,7 @@ begin -- mtxr signals are feedback outputs of modules. -- Warning: signals order is inversed regarding Python API code. mtxl_in <= + mtxr_in_iso14443_trigger & mtxr_in_chain_out & mtxr_in_pulse_gen_out & mtxr_in_spi_trigger & @@ -783,7 +838,9 @@ begin mtxr_in_spi_trigger, mtxr_in_spi_slave_miso, mtxr_in_chain_out, - mtxr_in_clock_out ) + mtxr_in_clock_out, + mtxr_in_iso14443_tx, + mtxr_in_iso14443_trigger ) variable i: integer; begin mtxr_in(0) <= "00"; -- Z @@ -831,6 +888,10 @@ begin -- Clock module mtxr_in(i) <= "1" & mtxr_in_clock_out; i := i + 1; + -- ISO 14443-A module + mtxr_in(i) <= "1" & mtxr_in_iso14443_tx; + mtxr_in(i+1) <= "1" & mtxr_in_iso14443_trigger; + i := i + 2; -- If you add other signals, please dont forget to update the sensivity -- list for simulation support. assert i = mtxr_in_count; diff --git a/fpga-sim/sim_iso14443_tx.vhd b/fpga-sim/sim_iso14443_tx.vhd new file mode 100644 index 0000000..6f52325 --- /dev/null +++ b/fpga-sim/sim_iso14443_tx.vhd @@ -0,0 +1,205 @@ +-- This file is part of Scaffold +-- +-- Scaffold is free software: you can redistribute it and/or modify +-- it under the terms of the GNU Lesser General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU Lesser General Public License for more details. +-- +-- You should have received a copy of the GNU Lesser General Public License +-- along with this program. If not, see . +-- +-- +-- Copyright 2025 Ledger SAS, written by Olivier Hériveaux + + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use work.common_pkg.all; + + +entity sim_iso14443_tx is end; + + +architecture behavior of sim_iso14443_tx is + constant clock_frequency: integer := 100000000; + constant clock_period: time := (1 sec) / clock_frequency; + constant bit_period: time := 9.4395 us; + constant mod_half_period: time := bit_period / 16; + + -- External clock + signal clock: std_logic; + -- Reset + signal reset_n: std_logic; + + -- Input signals + signal polarity: std_logic; + signal push: std_logic; + signal start: std_logic; + signal pattern: std_logic_vector(1 downto 0); + signal rx: std_logic; + + -- Output signals + signal busy: std_logic; + signal tx: std_logic; + signal trigger_tx_start: std_logic; + signal trigger_tx_end: std_logic; + +begin + + e_iso14443: entity work.iso14443_tx + port map ( + clock => clock, + reset_n => reset_n, + polarity => polarity, + use_sync => '0', + clock_13_56 => '0', + power_enable => '1', + pattern => pattern, + push => push, + start => start, + --busy => busy, + tx => tx, + rx => rx, + timeout => x"ffffff", + demod_delay => x"000050", + trigger_tx_start => trigger_tx_start, + trigger_tx_end => trigger_tx_end, + rx_fifo_rdreq => '0' ); + + -- External clock generation + p_clock: process + begin + clock <= '0'; + wait for clock_period / 2; + clock <= '1'; + wait for clock_period / 2; + end process; + + -- Reset signal + p_reset: process + begin + reset_n <= '0'; + wait for clock_period * 4; + reset_n <= '1'; + wait; + end process; + + p_0: process is + begin + polarity <= '0'; + push <= '0'; + start <= '0'; + pattern <= "11"; + rx <= '0'; + wait for clock_period * 100; + + pattern <= "01"; + push <= '1'; + wait for clock_period; + push <= '0'; + pattern <= "01"; + push <= '1'; + wait for clock_period; + push <= '0'; + pattern <= "01"; + push <= '1'; + wait for clock_period; + push <= '0'; + + wait for clock_period; + start <= '1'; + wait for clock_period; + start <= '0'; + + wait for bit_period * 5; + + rx <= '1'; + wait for mod_half_period; + + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + wait for mod_half_period * 8; + + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + wait for mod_half_period * 8; + + wait for mod_half_period * 8; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + rx <= '0'; + wait for mod_half_period; + rx <= '1'; + wait for mod_half_period; + + wait for bit_period * 5; + + pattern <= "01"; + push <= '1'; + wait for clock_period; + push <= '0'; + pattern <= "01"; + push <= '1'; + wait for clock_period; + push <= '0'; + pattern <= "01"; + push <= '1'; + wait for clock_period; + push <= '0'; + + wait for clock_period; + start <= '1'; + wait for clock_period; + start <= '0'; + + wait for bit_period * 5; + + + wait; + end process; + +end;