We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Body of the test issue.
#!/usr/bin/env sh python3 run.py -v #:image: ghdl/vunit:mcode
from os.path import join, dirname from vunit import VUnit vu = VUnit.from_argv() vu.add_library("lib").add_source_files(join(dirname(__file__), "*.vhd")) vu.main() #:file: run.py
library vunit_lib; context vunit_lib.vunit_context; entity tb_repro is generic ( runner_cfg : string ); end entity; architecture tb of tb_repro is begin main: process begin test_runner_setup(runner, runner_cfg); info("Hello world!"); test_runner_cleanup(runner); wait; end process; end architecture; --:file: tb_repro.vhd
tb_mwe.vhd.txt
The text was updated successfully, but these errors were encountered:
Welcome message!
Sorry, something went wrong.
Merge pull request #2 from actions/action-yml
0661c3c
Rename actions.yml to action.yml
No branches or pull requests
Body of the test issue.
tb_mwe.vhd.txt
The text was updated successfully, but these errors were encountered: